%PDF-1.4 % 1430 0 obj << /E 54019 /H [2650 681] /L 1974615 /Linearized 1 /N 66 /O 1433 /T 1945964 >> endobj xref 1430 70 0000000017 00000 n 0000002440 00000 n 0000002650 00000 n 0000003331 00000 n 0000003514 00000 n 0000003698 00000 n 0000003742 00000 n 0000003836 00000 n 0000004388 00000 n 0000004845 00000 n 0000005315 00000 n 0000005655 00000 n 0000005703 00000 n 0000005773 00000 n 0000005836 00000 n 0000005899 00000 n 0000007411 00000 n 0000025738 00000 n 0000029965 00000 n 0000031644 00000 n 0000043429 00000 n 0000043845 00000 n 0000044017 00000 n 0000044193 00000 n 0000044412 00000 n 0000044543 00000 n 0000044717 00000 n 0000044888 00000 n 0000045029 00000 n 0000045173 00000 n 0000045358 00000 n 0000045552 00000 n 0000045700 00000 n 0000045879 00000 n 0000046073 00000 n 0000046309 00000 n 0000046500 00000 n 0000046712 00000 n 0000046893 00000 n 0000047019 00000 n 0000047293 00000 n 0000047489 00000 n 0000047783 00000 n 0000048049 00000 n 0000048315 00000 n 0000048541 00000 n 0000048742 00000 n 0000049011 00000 n 0000049260 00000 n 0000049530 00000 n 0000049774 00000 n 0000050111 00000 n 0000050323 00000 n 0000050504 00000 n 0000050635 00000 n 0000050781 00000 n 0000050977 00000 n 0000051181 00000 n 0000051430 00000 n 0000051724 00000 n 0000051880 00000 n 0000052139 00000 n 0000052423 00000 n 0000052647 00000 n 0000052896 00000 n 0000053125 00000 n 0000053311 00000 n 0000053503 00000 n 0000053742 00000 n 0000053863 00000 n trailer << /ABCpdf 9116 /ID [<55CE8F8695688061DB22FC6CDF8767C7> ] /Info 1427 0 R /Prev 1945951 /Root 1431 0 R /Size 1500 /Source (WeJXFxNO4fJduyUMetTcP9+oaONfINN4+d73kPTwAkRs1lmGOHrdfvFrC0Ny9XZPB9khgm8VtCFmyd8gIrwOjQRAIjPsWhM4vgMCV\ 8KvVF/K8lfHw/pEC4e+WhmSVlUQbastSuxj1RcRGEg=) >> startxref 0 %%EOF 1431 0 obj << /Metadata 1426 0 R /Names 1428 0 R /OpenAction [1433 0 R /Fit] /Outlines 1429 0 R /PageLabels 1413 0 R /PageLayout /SinglePage /PageMode /UseOutlines /Pages 1415 0 R /Type /Catalog >> endobj 1432 0 obj << /Filter /FlateDecode /Length 590 /S 1092 >> stream xKHA{5o>RɄjR-JzRQPQ7z QCkSi=6B)hӦ6-hEQqq]4=wΙ33o$eKZ~췃ȇYb拙hPW =rd$D>g5VOWx_fnr\O[)6'|뤕f sihTs~/M_.gPnE%-7mbֻۤR r"ҬM ,1?Qk7kf`~!"|*xINGyL;e^;{{ *K[Bnv_j!J֧-Ʊ!+v0΄loT;5W3}T~bCtV1` |U(l7p95trAT_;jzM@_YUyZ>%WP{7D=g{U\Yqs3f$hl{\sLvsZ]>΅:~~_›f endstream endobj 1433 0 obj << /Contents [1443 0 R 1445 0 R 1442 0 R 1444 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 1416 0 R /Resources 1434 0 R /Rotate 0 /Type /Page >> endobj 1434 0 obj << /ColorSpace << /Cs8 1435 0 R >> /ExtGState << /GS1 1436 0 R >> /Font << /F1 1437 0 R /F2 1438 0 R >> /ProcSet [/PDF /Text] /Properties << /MC1 1441 0 R >> >> endobj 1435 0 obj [/ICCBased 1446 0 R] endobj 1436 0 obj << /BG2 /Default /SA false /SM 0.02 /Type /ExtGState /UCR2 /Default >> endobj 1437 0 obj << /BaseFont /DIEGNG+Helvetica-Bold /Encoding /WinAnsiEncoding /FirstChar 32 /FontDescriptor 1439 0 R /LastChar 150 /Subtype /Type1 /Type /Font /Widths [278 0 0 0 0 0 0 0 333 333 0 0 278 333 278 278 556 556 556 556 556 556 556 556 556 556 333 0 0 0 0 0 0 722 722 722 722 667 611 778 722 278 556 722 611 833 722 778 667 778 722 667 611 722 667 944 667 0 0 0 0 0 0 0 0 556 611 556 611 556 333 611 611 278 0 556 278 889 611 611 611 0 389 556 333 611 556 778 556 556 500 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 556] >> endobj 1438 0 obj << /BaseFont /DIEGNH+Helvetica-BoldOblique /Encoding /WinAnsiEncoding /FirstChar 32 /FontDescriptor 1440 0 R /LastChar 146 /Subtype /Type1 /Type /Font /Widths [278 333 0 0 0 0 0 0 333 333 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 333 0 0 0 0 0 0 0 0 722 0 0 0 778 0 0 0 0 0 0 722 0 0 0 0 0 0 722 0 0 0 0 0 0 0 0 0 0 0 556 0 0 611 556 0 0 0 278 0 0 0 0 611 611 0 0 389 556 333 611 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 278] >> endobj 1439 0 obj << /Ascent 718 /CapHeight 718 /CharSet (/space/K/i/n/t/e/x/hyphen/seven/F/P/G/A/C/two/four/T/X/r/a/s/c/v/h/z/o/B/d/U/nine/three/parenleft/per\ iod/parenright/O/b/one/zero/comma/M/I/V/E/L/N/S/D/R/H/y/p/colon/u/f/l/J/m/w/g/five/six/k/eight/W/slas\ h/Q/endash) /Descent -207 /Flags 262176 /FontBBox [-170 -228 1003 962] /FontFile3 1447 0 R /FontName /DIEGNG+Helvetica-Bold /ItalicAngle 0 /StemH 140 /StemV 140 /Type /FontDescriptor /XHeight 532 >> endobj 1440 0 obj << /Ascent 718 /CapHeight 718 /CharSet (/space/U/s/e/r/G/u/i/d/C/a/t/o/n/exclam/N/colon/parenleft/quoteright/parenright) /Descent -207 /Flags 262240 /FontBBox [-174 -228 1114 962] /FontFile3 1448 0 R /FontName /DIEGNH+Helvetica-BoldOblique /ItalicAngle -12 /StemH 140 /StemV 140 /Type /FontDescriptor /XHeight 532 >> endobj 1441 0 obj << /Metadata 1449 0 R >> endobj 1442 0 obj << /LC /iSQP /Length 0 >> stream endstream endobj 1443 0 obj << /Length 3 >> stream q endstream endobj 1444 0 obj << /Length 3 >> stream Q endstream endobj 1445 0 obj << /Filter /FlateDecode /Length 1428 >> stream hޜWێG}G;ʴ~y V B Q"A6ƀDS yutשSc zw7dq*T :E6avzssϗV=z> VmVǍ_Q7Q:mJ\-+X0,~\]o,onwnV;.wEQeyFXVaWky~=xf{/jS~z^ +@@Kuw:'Kx Ay g1@RxL* &~ lh- CRGGH^g6f:܀N143\Q>Q Y7̪W{_#w#l~9ԄPpjQ;T+4ǩɟ ?so- Y.jçJvSb⊺wB:`ұvQA9swሡ:,YHYpY_w6 kf!̂`A;_v Iicx-Tt{4c'nđrv )[,V#Z-KG4GPqd.vDH-10Vl.ܜes?a1a:)㪭r;XuOBkME]hUwP@@*P@rL3AKdRԢn("@=Q(CG\F;TÙ7Q?w0.3c* -1FBegщqe":G\pb%$fYUhu,0emW&.BWj^yM5Q6Ӧ~laY6#dHO"G'Ҵ1tc5ԑ5iڶ7'qM}zUcrE&B3'u&7hHɶH"z SΒS|f2c'"ňv] &K9JiєkLC)m\ ::<R#o\ $LmqK>r2o(fFy>2e&d^g$Q'[JUJ> stream hޔt}pqiNv֑   H $ ,:@zU;ݩRR(V1'bIyfgwy.[vҿqe˜Cg'[>ueyG˖.[vo~ki;z]'jwW/mlى?|ٹlɥ\FgYC_?~BmuM .]B t]qp]3g_><֊?>`phxAdEtôv  #X_( F'&gfo/,޾s޽>z鳗^~W_{o|ͷ>;|_◾wk_7|{_~?o~O??]/ KUŰCmk!o80>jQ_K> a_eY/"x_a>k~=B|LwOGWsŒĒÒ_ǿco,p±n;cg-TxgP61$F_Ǩc8' |SAeP(nÉW$!P*lF gD`H*bFch=hT 8ѫH0c'_<gG1D8r1Qg=[~h AĮGK#] p C/CfA L(l='| 4 T'Ez>khH:%pLI}IMGHGLu$f-:wJȘJ"'umzMjl̒YHx¿P{ 1"y7F-.|z <&$|ąg>ܪoPo|uxD|҅}]K+:< $) yt/2L+t\xr9˒ xEVxeE* M90| |Q?b<"icͳk( #ixL̇E{ljPFC|2=L hjt$1-0t2Iħ#7K7i:@I(|;eAw)iѵ 6e$7TU"v Zx-_4 Ѹ {RbvZz5eJ,]{0MP!"\=J9o/QEt %H;u(};]ohuV3!wܺ{U_ ;`3C_m|;x@"Y`;Ͼ~u0YP 7ho2 .~YG_Ir$! |#?#!p^9ζ>%+= L1ʼnI1a/Xe,1Eh8)MP3_Fh6&Y42P k0Str)Ehj7Z  }=DQ)!hxj`ן OƑ;axFJ}H,ۃZը} yK/((3, 4L8a=,vi06,{aNtEUSgorU1FS@kI >Elbl6oZ+%&$:? LʤcNOnRZKqSh4,B%ᓤP5<{+GO0Le 2ЫUs?KiDuDdaV“gI^E*pU[Dh'W#* ( ;.`;8 k0>,xjGV2綳L%M7z(ڂlyDWrv{F#Jn!p7vFػXI 9NJ%.p51rFɰ\błKHup#V;au1$Ob;&+(~6 mу EptFX3~Ϥk,k8b*eŠ1K5s*w@f7 ![-{t*i{4~Įl.OGx_'Lu& K‘viQc3Q+5DrPӎ0^Vl&;k%'ذ3'[pb,rIcndӛ^HF]p, ܓۓFJ ObdOlOG.X+E"4!#"TofqHiTH-a؀ ʹr>& ;-nʔ Tp,* ݝ2ǴAe)S2evA})S2 Cy0NECNS[e<G\F2}.=4u)w\1\*xQp^m9&#PkޟBF\ƕE %a5O[ZX!!5K[CTAHy1sPj [m0+DJSYOf}1iK@ٕ"-%ѩh)YLbꖀT+FˣR>E-R ϣ:Y/e: оUkJ%\]ϑQoC! VOi(r߇iH jHE}Qʼn'5MGF!W A<]w9m>2L7d QO.I溣tݒƅ#C|Ce*-zLlmkVoW iqCM}/(Wf_v ǝyΕtx"|Z q=xaj`:(q€;yjZ՗O܎9YH;6V $|s<+Yۏp jEP$" Y ݃58=Q !4Pu%`D F !mRLbŸAFȷ=0< ~~VNR#W@.6@7dDF&F`` XYroofq B 0 vZdw3}(0xLBݰ^nq7xޓ4(%e,i4 p]$ZEbf34RDHI$*xBkӔ"%AJp-1$I’  +|v\%N?"3D2AD%>&xRR\C2DF(\g3L<<@[Hy N ~0fN({3!H,8 ruw$9d$D Agho,6$"6!Y8U<b/hu9-j#GfA [`N^2i菆>պ&De//n`4]gfbZS60j)XQ䴬GI\"i51jCZPgm`(SD;/-A`3>-ã@dMAdGi\M`xb~츁5Xqx,ū1 Eө U'eMJLECr-]4c[fѐkL&햅:-cH<%GbH0\0KxYR$hzߓwtg㮃!vFWHQR^Oוv Q"Tugk L=@Xp}%;M_Sgf5 'Aɮx5.s6Mgjdz%Oj6\)jL=6cD6LDSARibĭh%)g2v%:7[6M,LH_OBMkՈRM0d޺1v{h'gMgh SC7GE?툞r ^޼΍;7gcXۑ: 5xj~ `g7>z0_yo B lE(C_s^" G@:^rK15.9ѻ`j-tnkϸP=*䕯 UԙvR ^Ok_OCS|ҕqNPǎIX9$r>w7|g*{gLQLk__tt25L*T*)gz/u1VyNW.)@@%sTip&[>Bd;*sKS+F*L,;pߪ d,%ANZ)[0VM,mj%3^0MꀡjRVT+yXS1`hR5r /c⾗[rIVU-To%^8j{niOnn*jY)} [[KF_[`u*pn5wtzTŚ Uxuf3)/)YeJXuS0DJLYXVuC4nMHQVg ʘr.79K'5{l1O]֯E9uZ<6Jls^K)u~ 4jjyXycgO5UίƹR_/g15%#S{4s W|]gLM. ]lW-I ݩWҩ2S r+5nKsaT*͆P?B7 o̔h͆|ijEa.;9ћur~8]7v+Kt);smEWǣ$־g?@Ho/][&;t2{_uo]v%ӞJ淳ȏϕջEnOo68-wI MMO!@ a"'Qr^S3?qoIc+q $w׸~ )(qXFWWG,M1BWG3t{qf!fMĞ>J"ױK/qJjQ gg03oO^#`m.`n ~?Sp|L>,3$(0L :H'GIS:MMt/7fXgCFqS z +&`URVtk@(j0c&)Կ5AQw&(Q~N]3-S ZX a&}>Ww*xC'H= ZkOϕ |H=V nqT},/EKe[*Ģ;%.nh@"C sIJK;s]!_rJJ!#-'vwܽ",a񤙢Z_d1V%^G;c.N^^`gVgJ(eNN|:G]Dm,SQd7`7 |SQdZ,5A@LwhNA_(DBP5%c*)#Qۑ9|!2{/%DoK̬ľvŲ(jbDEqV_b(VI0Ux=k[VP]nb+\l[vAN\]*W qM{ü.W]smWxyü?eml7\~y ^w X 2Ah躛rLt_Flm`M2m>ZTVZetXVTaFU*n:kꊢ(,RGs;R1-냒&efhJ-&}/;Ӓ5(mRҮtT r(ܴ fzG*W @.Ki1; Zmb%K/xЄ>v+)F p" .&t:eL(pg&`[4.|r\n_3]hQr95)AWٶyS~iH}Tegs2K]SlJl2w5}TV)AJ[f~N5O1esjFyNϏnb>U:]Dg:ZI)B#9%x`x=RgJl=6w[fW\?*ֽx%Ϝ#U R!ſY֝xϜj#/9r.Ǿ|[=ӰFF_Nkci붰x#e/QD z`(nb 1?R MAD tŧ f=1N<fg(o!,"Zq(&R?|׀Fz0A*ҿU~8)Ճy=EnW3$k ȭ$b>>P_ks$Z'+`n{ ~:I.N^/9+HDE0{ `n=LZ3 p=n-ޥR3D&Qj_Rl7o|!ŋ B",a8)t3Q:n䓺ҠzK2Nݴ=\s,}1kݴ=tvgU.YRE?/"7^M4X\cEi߂?^x{6q\Ü.JBA0t<{,8dݤqx?Olg!Թ'*d=q[pS?dD+'Yy˕lyʔtS("V9癛1o铆6]D|\ovM{04KUeي-myӛ!RfYIiM-9VJ?Cm.ȢR7el,ROcEYks&\gfӏ @gn{_ɱe-'@ޭ1;9\7iBLŝ\_QO|fؤS_w*rQ{SG]%r*%:k:3Kkו&EN4Jja[{=g1z>Eb.A-9/6]]g]D[2xGb\f=Td9=={ï\D*=ju^++lCCǕZ-&YWʹ1['P^qVSv+gl͞(C9ZM*kS h03 NHM *$xV t#z( T r g !_#gIv^"|(y"d0"%zվ;rG1})\YܭdKI>Ɯ%z =eՀ` _LӾpۿ/@ R^L3NMn:f;@. ţN2d&C :F] 9nD3vH"AR4( 79,{!H2op9ŵ|#& LZguXx IӉ\SD_sBngZ`Gsld`>D05)=TYĕIRVNrSv]\ČIBVVv*#`1jOf?RgpΔw~heTC:`cP{'2' AFB, $Ԝ^hiM\*́~9^jXF(M1 o,GtB2$ e1A $)(ygiz9E%q;AXǤNoimQ{Y$c;&5yKY˪OyYDVc#Fޒ(mSNa}:}3ވҁa=ӥM==f̰t!5fxFAK(,@i 讌-ČLt>9RYi}.r], (D1 ;(ӂ\DY x#ۼȫӼ\PYp. s9{3y9u j \J?,S7xYP2h0_φKv}? fknb^Zi fca/ylpւMt@5ʦL؁lxBg |\'5]e.moV5_eIR4=_? ! MjPteESު"r,5I`AӬxqYIy"ɀ^h]5V6&!(r8ڬ,TBp>Om/&nւB)>`ii*-Xm]eG\ğSE(5uw~pOl0D"#X7I}يQgax?.1&OH}qɽ(5cۍV&g!~>)en\_6뺱Zԧw e1n1_Y[D흠.nT]8-Դ [v޻=6Q]iJ[?vv0FSV7݄J=5~%txϢ$W'b0Dh<[`z_XM]'I9B"`(m#;(|!Cx0Fl$ɷ =wxpB66ܛ4?R=?R3'?7)y:9;.w>$hnj׀6 \[en>Ο0!WjW9WOU|Z$:H i9%MA &Qbm,`ETFD6JKQ=EC^YJZS1jmuWK{gǬX$~y'12D>LȎAf-V[L,lO朙Yfffffffav붮x_U1ٙQ)0|*O궂f`Ply.L!'%1k|>^2C9jK`cVz(b4I0%K1&'D9A]wQA%MV}$haYj,0I >*˾TWn#Ξjڥkz#n^G7%:AղoXzsC5%x# 4fP-ӊz5nQМ̐%buUCQ|uuDlK\UOR*ӤYm ȭBD&t5t)Z2byER#0`rS'IBD a@2S&SceފHaݔ-S˼w_t&{X$Y{Rn^]̵ k6gV%]]YGda XNBdl,YA[fj]GF#a\,MiRiGT"Rs 0kHP4uJbk2UcEPMqAKڑm(zLg5 8*Xy$SYռClµP%7&;3}O \W3P謋(=2,*t2'^ҪF}t'F<ߓE˻~mkDK|##n9R.`+u)[EFƓ6cS)KKtd&m˦1)S5Ө) PԦ> Tt#k dSB8aEsuXwt{I#5eX͵{uZҽNΨayifIvUg/PػM\]zX4C-z<9wUJ{xӜm!>W].i3s!Wv~Gvdm1>f])(öo-> ;ɏ\eQC~ Zv\}:m,@]3Z;ms2C4Yvдn{o.,VAG{.{%%>{_{Y\|^>y]҃m/'^w_מ=j Ļx>GObGX[廮xyJo+fc<}qݹW|RH-eSgiZ^):&}}5+c:`&=0€ oqs{j׎yߚ1 fe~YgbN TH|4sΊ|R-Q *OkVαJHUĐgyO 9e9.YQ)B*!^g]HHr\I5Dz^[؇T`j0҄!Ztn:^WnXg}<OvGp7/ctV_[/gqt"brBR+g$Wh* Ԙ"dٜZ(6˕ ~a+9qc=!e(,J", G-5eh}\4w R̀ _K 0Gq^m֞'{sjϑָ`o+貞e{Rݛ#q_/gHj]g owzC84SsylZGt[ȀrjhPKN܈&%JZ&UmbZIrN#/P4(b ORQLפ#iN XSN4\/]詊1L`DΡ#f)dެ[5͘i_JnSȶEbfQѲKGOM*Yܨua~vGEf #3L+  ^ȓ#Y"9pÆ *EVAQ$7f,Qhe^#(udII4-0ppeIQV LJUT[mQxSA(bU܌曼TL%&Z)y9Qy%nu%{J!79'("{R%!s"wҒ=@@fp:[d i# %l^%o#bm,Rs2{O(ָh6$Ћ<7' TyИ*UyM6-JXd9B ,kR.'0eTmCUHpM@9$ uJ^,Pg$+dW+^f+z{HouCPzTR-s׌C!>j%) j9!u,lW(S/qa€R`U~ aU3A ₢ ˱@Ê<@G0>RH+@Pò6ZSC+7SkkI!eƊrfX4sP7vm'-ns¢=XCUԑٴviA&|ء\N3^lE7 \-SthT7"!y˨AS跔 zƺ\Щ9BDԚ,ܜ&LrPslD~Mc4*4h9){՘)fu0`)r0Ød8H/I眘`IbhրafGV'Eۥp !'_KQqr|¦[YrbapQVeߪmXc Xdbn5`}}C1# 5`}ԩ=Y7E1 qgIU5MZ:ehF? YU5U_Ԍ1aBvekMTfNinf?4n>K.?9}x*N\">Ц7n2K׹em{WٍeA6iZĈ xW;ǝzʹQ]鮣kc #][5ZN;|ȑFleZƎ~8m!KA%meV#:mi!K1!p ,1 B&1 o7>?C??#??c????S??3?un_P`882:6~/4Txzfvn~$q"JfγEX*W560IͰ/nhZz~^o<}˷^w}?________????????˿ۿTCxu`::3=3O9pH\̠7X~]-MI endstream endobj 1447 0 obj << /Filter /FlateDecode /Length 4126 /Subtype /Type1C >> stream hdW XTUaPFrfQpCPA TD ̍M@dMMͥPJEPs#0)W~w %E3,s)Kwпz|[=Qv*Z>|QC?/)67,mNS6eW cy9²vP\OvQ٩d$%$3wf{o7f/xy)ᩱiiz1S,dggd9dN4GeDŧDg$f#RǛ5GL0'1IqII=JM^r4:N5^JS)*J UT5˪'vNh4 'ڥڣ\Onw{YauL}]?U̾}q;_mhkۈmsr,v<'|Cu;M>v8quV;8/q|aP 8:0zxi" a!L.z{d#N=a[Ѓfd"Mkرh38ݢ09z21:ρfCgly`LNBBjC2CD7dVoCQVKE=i\|Z^q` ٠@/IV!:B@:, F_q|Ͽ #DCYQK$ Ϛ )cdapA<`* H᪦ǦG&kr]^'<>U[/Pu=" )v@'p `Fx? o^2%~Ig\'oX+6\17:P|]7VQ/oE?(d5ԁSJ,nP*<`B1؛Fk8 ~u67Эllw ޖMyÅ#"č6rezn8hL_ ^3WY^ ڄ,|Fʫ«eq{>J5\L\U=%y0p%$ql1{A?lK]-mBqfowlT?['58SrE(Љf6zW^Bl̪o|lprG2RؖJJV) :5{&F&Ϛ 5CwSN8rNa8|i" UQ F؆ c|_Be”q5nۨV^:N_RrM_81qʤ[  &p{ Wax>^|R*ʱSƚf)]*ꈶDOH./(?S^ X]',-5 ]aWip /b8g|T(bp/#h21 &#Aњ yZ578ɠ}{;if޻D^YR㙱a:a1v`<;&agk!r}UΘqS獑07}jմyitdN芫#YaYzЛ; HRf4N[fK6kΐMŧ!# bp)tR~}!ۡAY<;kK`x#WoHߞϠ fJqm0i'= p\w/hº1JMNe,J,E s(Rbke? ;AM_"4!_6&'OL7BTSn"Z Zp?~z/(xW7 99 x[b* *[q"ʲZb,/w 3䀣u9;T1mf]&,8p' cwcap d`=C|$۱֕tsae"_ 7la<˖5ʐֳ\>C7,id,?*bn}AFWd}f+2@kA@y&9[̭eWRq[&IT xV<|}/LUS(F BL Tb*H ް.&~}C{(IdKkilOS'Vo0Y2MO]aj] [e% ,5p'> zMUú T % 6w 21 솞 4k \3$ JYrqF0&&ϿzL"t"0@F~2>><>d&~@ 'qyQAI퇹 v^J,t*8 .ee'x@j'ݕch61#w&vF}Ԙ[08 pt\;RT+ߥ»JMHRwmd qtJ3_k <(Ϣt]Ѡg=~ kRhe-a z9`"  E*IC=QfGA(n܀ϕ+s|Xh䢡|O E=u- o.^#( m p8A 1s@@>e$LZTqОϖߗ=p[BIy&/.>?7&%}< ]9'y9Ú]"*h =t/cxֈ|[#KjpdX~ \u C.V%BDF7?Ć뷷˽!"S+^^,ҍ}&hjg~SK5M7lMҵv$$!#shx|]rx~u5z h!M8,6P"՞ HrTkk+1|{~t =0;_@3ם);[*"SX~}IH~jGSo!)J{d^B{8rKX! +W1w>F4 uu{.jNN49\i2V]XrX+\]c>:4ZL)͠8]C9iCBu{މ*Q{ܢ44#t}B۾}H0Fn 观 Jւ!pw仪}2|Qv^7SM)>q3r|XfEǡ5:shxMan.$c+![ޡ{Dy2>mj|CSgsp"\1@< e9>[gj| P\ΑcZoW+߈37GT{V,p؁*x+-5:޲<(ښ,hQ[4` zcO?aaV8Hŝ EM#,ߕWH\´#MYV[yR6m S {uUogjrtAHZns噠J,F> ?֣djK[bJ1z#3Z ^:lP_mm 䣮 0Ks endstream endobj 1448 0 obj << /Filter /FlateDecode /Length 1578 /Subtype /Type1C >> stream hlS{Tevgpف]V[E/LI QLMWv K|$YjNb><()Ǣ|z̠TW+)Cک:3瞹s~!0LcS>9m4GQY& Ye`A*2j楈56"AݗJfZ #آapfHk,{XOBƿ+U\v.^R=$M8nT0N'1JݕP攗: K"]r[KDEI/(Pf'(%,QJjwZs gءOUE.⤽%e%Nv:J.a(cBDBL d4! L%'PDm(>1Mdm`b0 `,,H>AڗzU)081 7` ġs`o2ZرLσ0`aٔ/F ӱPG1"iSQY/KxG\2tob CA5HHH69v^!IۣQ 2PM(Xܫb_,|_K_>*8^<Ŷ-H[0M>e}GRy1Wѐ°NB^lYT P.}3=t6>u+@ 5QR"c9O*,,['ާM^9h[1oصS}֮sN0>16־R{}S7t.*qWLPAئ P]fmKq1 gHn?|\/N8o •i-IONrrR@1Mtr!51e.v}* `aXQ.,@Li[-W'e xfo7D%,SfsvT0Һߍ?M]@iURͶe>廾FC9ҡ7j3v]4*N8& RDUt9(\G#}9 #}#)z7 gl SK<(nug/0R,wnL=8*dqߎ +d^v0z YVY,/c6OXo|"}~I*a ni'h;˺5ms& a~;Ƿ,-55[^nʕ+;dh֓vUjJs=[]f._h[%c;OwJU4}}h,lCx_l='2c"Ax8(DjTHo- endstream endobj 1449 0 obj << /Length 11691 /Subtype /XML /Type /Metadata >> stream Xilinx-Logo endstream endobj 1450 0 obj << /Count 8 /Dest [1433 0 R /Fit] /First 1451 0 R /Last 1452 0 R /Parent 1429 0 R /Title (\000K\000i\000n\000t\000e\000x\000-\0007\000 \000F\000P\000G\000A\000 \000K\000C\0007\0002\0004\000\ \000G\000T\000X\000 \000T\000r\000a\000n\000s\000c\000e\000i\000v\000e\000r\000 \000C\000h\000a\000r\ \000a\000c\000t\000e\000r\000i\000z\000a\000t\000i\000o\000n\000 \000B\000o\000a\000r\000d) >> endobj 1451 0 obj << /Dest (G1.1014901) /Next 1465 0 R /Parent 1450 0 R /Title (\000R\000e\000v\000i\000s\000i\000o\000n\000 \000H\000i\000s\000t\000o\000r\000y) >> endobj 1452 0 obj << /Count -4 /Dest (G8.281287) /First 1453 0 R /Last 1454 0 R /Parent 1450 0 R /Prev 1455 0 R /Title (Appx. E: Regulatory and Compliance Information) >> endobj 1453 0 obj << /Dest (G8.286568) /Next 1499 0 R /Parent 1452 0 R /Title (\000D\000e\000c\000l\000a\000r\000a\000t\000i\000o\000n\000 \000o\000f\000 \000C\000o\000n\000f\000\ o\000r\000m\000i\000t\000y) >> endobj 1454 0 obj << /Dest (G8.286851) /Parent 1452 0 R /Prev 1496 0 R /Title (\000M\000a\000r\000k\000i\000n\000g\000s) >> endobj 1455 0 obj << /Count -3 /Dest (G7.228151) /First 1456 0 R /Last 1457 0 R /Next 1452 0 R /Parent 1450 0 R /Prev 1458 0 R /Title (Appx. D: Additional Resources) >> endobj 1456 0 obj << /Dest (G7.288519) /Next 1495 0 R /Parent 1455 0 R /Title (\000X\000i\000l\000i\000n\000x\000 \000R\000e\000s\000o\000u\000r\000c\000e\000s) >> endobj 1457 0 obj << /Dest (G7.288532) /Parent 1455 0 R /Prev 1495 0 R /Title (\000R\000e\000f\000e\000r\000e\000n\000c\000e\000s) >> endobj 1458 0 obj << /Dest (G6.285601) /Next 1455 0 R /Parent 1450 0 R /Prev 1459 0 R /Title (Appx. C: VITA 57.1 FMC Connector Pinouts) >> endobj 1459 0 obj << /Count -1 /Dest (G5.228151) /First 1460 0 R /Last 1460 0 R /Next 1458 0 R /Parent 1450 0 R /Prev 1461 0 R /Title (Appx. B: Master Constraints File Listing) >> endobj 1460 0 obj << /Dest (G5.296786) /Parent 1459 0 R /Title (\000K\000C\0007\0002\0004\000 \000B\000o\000a\000r\000d\000 \000X\000D\000C\000 \000L\000i\000s\000\ t\000i\000n\000g) >> endobj 1461 0 obj << /Dest (G4.228151) /Next 1459 0 R /Parent 1450 0 R /Prev 1462 0 R /Title (Appx. A: Default Jumper and Switch Positions) >> endobj 1462 0 obj << /Count -2 /Dest (G3.443504) /First 1463 0 R /Last 1464 0 R /Next 1461 0 R /Parent 1450 0 R /Prev 1465 0 R /Title (KC724 Board Features and Operation) >> endobj 1463 0 obj << /Dest (G3.443925) /Next 1464 0 R /Parent 1462 0 R /Title (\000K\000C\0007\0002\0004\000 \000B\000o\000a\000r\000d\000 \000F\000e\000a\000t\000u\000r\000e\000\ s) >> endobj 1464 0 obj << /Count -14 /Dest (G3.475522) /First 1466 0 R /Last 1467 0 R /Parent 1462 0 R /Prev 1463 0 R /Title (\000D\000e\000t\000a\000i\000l\000e\000d\000 \000D\000e\000s\000c\000r\000i\000p\000t\000i\000o\000\ n) >> endobj 1465 0 obj << /Dest (G2.463263) /Next 1462 0 R /Parent 1450 0 R /Prev 1451 0 R /Title (\000T\000a\000b\000l\000e\000 \000o\000f\000 \000C\000o\000n\000t\000e\000n\000t\000s) >> endobj 1466 0 obj << /Count -9 /Dest (G3.473503) /First 1486 0 R /Last 1487 0 R /Next 1481 0 R /Parent 1464 0 R /Title (\000P\000o\000w\000e\000r\000 \000M\000a\000n\000a\000g\000e\000m\000e\000n\000t) >> endobj 1467 0 obj << /Dest (G3.444748) /Parent 1464 0 R /Prev 1468 0 R /Title (\000I\0002\000C\000 \000B\000u\000s\000 \000M\000a\000n\000a\000g\000e\000m\000e\000n\000t) >> endobj 1468 0 obj << /Dest (G3.468640) /Next 1467 0 R /Parent 1464 0 R /Prev 1469 0 R /Title (\000X\000A\000D\000C) >> endobj 1469 0 obj << /Dest (G3.444374) /Next 1468 0 R /Parent 1464 0 R /Prev 1470 0 R /Title (\000F\000P\000G\000A\000 \000M\000e\000z\000z\000a\000n\000i\000n\000e\000 \000C\000a\000r\000d\000\ \000H\000P\000C\000 \000I\000n\000t\000e\000r\000f\000a\000c\000e) >> endobj 1470 0 obj << /Dest (G3.444339) /Next 1469 0 R /Parent 1464 0 R /Prev 1471 0 R /Title (\000U\000S\000B\000-\000t\000o\000-\000U\000A\000R\000T\000 \000B\000r\000i\000d\000g\000e) >> endobj 1471 0 obj << /Dest (G3.444238) /Next 1470 0 R /Parent 1464 0 R /Prev 1472 0 R /Title (\000G\000T\000X\000 \000T\000r\000a\000n\000s\000c\000e\000i\000v\000e\000r\000s\000 \000a\000n\000\ d\000 \000R\000e\000f\000e\000r\000e\000n\000c\000e\000 \000C\000l\000o\000c\000k\000s) >> endobj 1472 0 obj << /Dest (G3.444224) /Next 1471 0 R /Parent 1464 0 R /Prev 1473 0 R /Title (\000U\000s\000e\000r\000 \000P\000u\000s\000h\000 \000B\000u\000t\000t\000o\000n\000s\000 \000\(\000\ A\000c\000t\000i\000v\000e\000 \000H\000i\000g\000h\000\)) >> endobj 1473 0 obj << /Dest (G3.444204) /Next 1472 0 R /Parent 1464 0 R /Prev 1474 0 R /Title (\000U\000s\000e\000r\000 \000D\000I\000P\000 \000S\000w\000i\000t\000c\000h\000e\000s\000 \000\(\000\ A\000c\000t\000i\000v\000e\000 \000H\000i\000g\000h\000\)) >> endobj 1474 0 obj << /Dest (G3.479596) /Next 1473 0 R /Parent 1464 0 R /Prev 1475 0 R /Title (\000U\000s\000e\000r\000 \000L\000E\000D\000s\000 \000\(\000A\000c\000t\000i\000v\000e\000 \000H\000\ i\000g\000h\000\)) >> endobj 1475 0 obj << /Dest (G3.444149) /Next 1474 0 R /Parent 1464 0 R /Prev 1476 0 R /Title (\000S\000u\000p\000e\000r\000C\000l\000o\000c\000k\000-\0002\000 \000M\000o\000d\000u\000l\000e) >> endobj 1476 0 obj << /Dest (G3.444135) /Next 1475 0 R /Parent 1464 0 R /Prev 1477 0 R /Title (\000D\000i\000f\000f\000e\000r\000e\000n\000t\000i\000a\000l\000 \000S\000M\000A\000 \000M\000R\000\ C\000C\000 \000P\000i\000n\000 \000I\000n\000p\000u\000t\000s) >> endobj 1477 0 obj << /Dest (G3.444126) /Next 1476 0 R /Parent 1464 0 R /Prev 1478 0 R /Title (\0002\0000\0000\000 \000M\000H\000z\000 \0002\000.\0005\000V\000 \000L\000V\000D\000S\000 \000O\000\ s\000c\000i\000l\000l\000a\000t\000o\000r) >> endobj 1478 0 obj << /Count -2 /Dest (G3.467450) /First 1479 0 R /Last 1480 0 R /Next 1477 0 R /Parent 1464 0 R /Prev 1481 0 R /Title (\000S\000y\000s\000t\000e\000m\000 \000A\000C\000E\000 \000S\000D\000 \000C\000o\000n\000t\000r\000\ o\000l\000l\000e\000r) >> endobj 1479 0 obj << /Dest (G3.444092) /Next 1480 0 R /Parent 1478 0 R /Title (\000S\000y\000s\000t\000e\000m\000 \000A\000C\000E\000 \000S\000D\000 \000C\000o\000n\000t\000r\000\ o\000l\000l\000e\000r\000 \000R\000e\000s\000e\000t) >> endobj 1480 0 obj << /Dest (G3.444094) /Parent 1478 0 R /Prev 1479 0 R /Title (\000S\000y\000s\000t\000e\000m\000 \000A\000C\000E\000 \000S\000D\000 \000C\000o\000n\000f\000i\000\ g\000u\000r\000a\000t\000i\000o\000n\000 \000A\000d\000d\000r\000e\000s\000s\000 \000D\000I\000P\000 \ \000S\000w\000i\000t\000c\000h\000e\000s) >> endobj 1481 0 obj << /Count -4 /Dest (G3.444067) /First 1482 0 R /Last 1483 0 R /Next 1478 0 R /Parent 1464 0 R /Prev 1466 0 R /Title (\000K\000i\000n\000t\000e\000x\000-\0007\000 \000F\000P\000G\000A) >> endobj 1482 0 obj << /Dest (G3.444071) /Next 1485 0 R /Parent 1481 0 R /Title (\000F\000P\000G\000A\000 \000C\000o\000n\000f\000i\000g\000u\000r\000a\000t\000i\000o\000n) >> endobj 1483 0 obj << /Dest (G3.444088) /Parent 1481 0 R /Prev 1484 0 R /Title (\000I\000N\000I\000T\000 \000L\000E\000D) >> endobj 1484 0 obj << /Dest (G3.451451) /Next 1483 0 R /Parent 1481 0 R /Prev 1485 0 R /Title (\000D\000O\000N\000E\000 \000L\000E\000D) >> endobj 1485 0 obj << /Dest (G3.444084) /Next 1484 0 R /Parent 1481 0 R /Prev 1482 0 R /Title (\000P\000R\000O\000G\000_\000B\000 \000P\000u\000s\000h\000 \000B\000u\000t\000t\000o\000n) >> endobj 1486 0 obj << /Dest (G3.470117) /Next 1494 0 R /Parent 1466 0 R /Title (\000B\000o\000a\000r\000d\000 \000P\000o\000w\000e\000r\000 \000a\000n\000d\000 \000S\000w\000i\000\ t\000c\000h) >> endobj 1487 0 obj << /Dest (G3.444048) /Parent 1466 0 R /Prev 1488 0 R /Title (\000A\000c\000t\000i\000v\000e\000 \000H\000e\000a\000t\000s\000i\000n\000k\000 \000P\000o\000w\000\ e\000r\000 \000C\000o\000n\000n\000e\000c\000t\000o\000r) >> endobj 1488 0 obj << /Dest (G3.444028) /Next 1487 0 R /Parent 1466 0 R /Prev 1489 0 R /Title (\0007\000 \000S\000e\000r\000i\000e\000s\000 \000G\000T\000X\000 \000T\000r\000a\000n\000s\000c\000\ e\000i\000v\000e\000r\000 \000P\000o\000w\000e\000r\000 \000M\000o\000d\000u\000l\000e) >> endobj 1489 0 obj << /Dest (G3.444024) /Next 1488 0 R /Parent 1466 0 R /Prev 1490 0 R /Title (\000R\000e\000f\000e\000r\000e\000n\000c\000e\000s) >> endobj 1490 0 obj << /Dest (G3.444022) /Next 1489 0 R /Parent 1466 0 R /Prev 1491 0 R /Title (\000M\000o\000n\000i\000t\000o\000r\000i\000n\000g\000 \000V\000o\000l\000t\000a\000g\000e\000 \000\ a\000n\000d\000 \000C\000u\000r\000r\000e\000n\000t) >> endobj 1491 0 obj << /Dest (G3.444020) /Next 1490 0 R /Parent 1466 0 R /Prev 1492 0 R /Title (\000D\000e\000f\000a\000u\000l\000t\000 \000J\000u\000m\000p\000e\000r\000 \000a\000n\000d\000 \000\ S\000w\000i\000t\000c\000h\000 \000P\000o\000s\000i\000t\000i\000o\000n\000s) >> endobj 1492 0 obj << /Dest (G3.444014) /Next 1491 0 R /Parent 1466 0 R /Prev 1493 0 R /Title (\000D\000i\000s\000a\000b\000l\000i\000n\000g\000 \000O\000n\000b\000o\000a\000r\000d\000 \000P\000\ o\000w\000e\000r) >> endobj 1493 0 obj << /Dest (G3.444008) /Next 1492 0 R /Parent 1466 0 R /Prev 1494 0 R /Title (\000U\000s\000i\000n\000g\000 \000E\000x\000t\000e\000r\000n\000a\000l\000 \000P\000o\000w\000e\000\ r\000 \000S\000o\000u\000r\000c\000e\000s) >> endobj 1494 0 obj << /Dest (G3.443999) /Next 1493 0 R /Parent 1466 0 R /Prev 1486 0 R /Title (\000O\000n\000b\000o\000a\000r\000d\000 \000P\000o\000w\000e\000r\000 \000R\000e\000g\000u\000l\000\ a\000t\000i\000o\000n) >> endobj 1495 0 obj << /Dest (G7.288529) /Next 1457 0 R /Parent 1455 0 R /Prev 1456 0 R /Title (\000S\000o\000l\000u\000t\000i\000o\000n\000 \000C\000e\000n\000t\000e\000r\000s) >> endobj 1496 0 obj << /Count -2 /Dest (G8.282179) /First 1497 0 R /Last 1498 0 R /Next 1454 0 R /Parent 1452 0 R /Prev 1499 0 R /Title (\000S\000t\000a\000n\000d\000a\000r\000d\000s) >> endobj 1497 0 obj << /Dest (G8.279224) /Next 1498 0 R /Parent 1496 0 R /Title (\000E\000l\000e\000c\000t\000r\000o\000m\000a\000g\000n\000e\000t\000i\000c\000 \000C\000o\000m\000\ p\000a\000t\000i\000b\000i\000l\000i\000t\000y) >> endobj 1498 0 obj << /Dest (G8.282598) /Parent 1496 0 R /Prev 1497 0 R /Title (\000S\000a\000f\000e\000t\000y) >> endobj 1499 0 obj << /Dest (G8.279219) /Next 1496 0 R /Parent 1452 0 R /Prev 1453 0 R /Title (\000D\000i\000r\000e\000c\000t\000i\000v\000e\000s) >> endobj 1 0 obj << /Annots [2 0 R 3 0 R 4 0 R 5 0 R 6 0 R 7 0 R 8 0 R 9 0 R 10 0 R 11 0 R 12 0 R 13 0 R 14 0 R 15 0 R 16 0 R 17 0 R] /Contents 20 0 R /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 1416 0 R /Resources 18 0 R /Rotate 0 /Type /Page >> endobj 2 0 obj << /A << /S /URI /URI (http://www.xilinx.com/legal.htm#tos) >> /Border [0 0 0] /Rect [54 556.5 182.4 567.48] /Subtype /Link /Type /Annot >> endobj 3 0 obj << /A << /S /URI /URI (http://www.xilinx.com/legal.htm#tos) >> /Border [0 0 0] /Rect [54 528 182.4 538.98] /Subtype /Link /Type /Annot >> endobj 4 0 obj << /A << /S /URI /URI (http://www.xilinx.com) >> /Border [0 0 0] /Rect [275.58 25.98 336.42 36.96] /Subtype /Link /Type /Annot >> endobj 5 0 obj << /Border [0 0 0] /Dest (M3.9.77069.TableTitle.Table.116.FPGA.to.UART.Connections) /Rect [228.24 316.02 269.76 327.54] /Subtype /Link /Type /Annot >> endobj 6 0 obj << /Border [0 0 0] /Dest (M5.9.41793.AppendixTitle.AppendixTitle) /Rect [290.58 316.02 475.14 327.54] /Subtype /Link /Type /Annot >> endobj 7 0 obj << /Border [0 0 0] /Dest (M3.9.15872.TableTitle.Table.17.LVDS.Oscillator.MRCC.Connections) /Rect [377.82 299.64 415.08 310.92] /Subtype /Link /Type /Annot >> endobj 8 0 obj << /Border [0 0 0] /Dest (M3.9.80694.TableTitle.Table.18.Differential.SMA.Clock.Connections) /Rect [419.82 299.64 457.08 310.92] /Subtype /Link /Type /Annot >> endobj 9 0 obj << /Border [0 0 0] /Dest (M3.9.60419.TableTitle.Table.19.SuperClock2.FPGA.IO.Mapping) /Rect [461.82 299.64 499.08 310.92] /Subtype /Link /Type /Annot >> endobj 10 0 obj << /Border [0 0 0] /Dest (M3.9.32096.TableTitle.Table.19.User.LEDs) /Rect [503.88 299.64 541.14 310.92] /Subtype /Link /Type /Annot >> endobj 11 0 obj << /Border [0 0 0] /Dest (M3.9.62275.TableTitle.Table.111.User.DIP.Switches) /Rect [188.82 288.18 230.34 299.4] /Subtype /Link /Type /Annot >> endobj 12 0 obj << /Border [0 0 0] /Dest (M3.9.33479.TableTitle.Table.112.User.Push.Buttons) /Rect [235.08 288.18 276.06 299.4] /Subtype /Link /Type /Annot >> endobj 13 0 obj << /Border [0 0 0] /Dest (M3.9.77069.TableTitle.Table.116.FPGA.to.UART.Connections) /Rect [280.8 288.18 322.26 299.4] /Subtype /Link /Type /Annot >> endobj 14 0 obj << /Border [0 0 0] /Dest (M3.9.76644.TableTitle.Table.116.CP2103.USBtoUART.Bridge.User.GPIO) /Rect [345.48 288.18 386.94 299.4] /Subtype /Link /Type /Annot >> endobj 15 0 obj << /Border [0 0 0] /Dest (M3.9.59537.Heading3.7.Series.GTX.Transceiver.Power.Module) /Rect [411.18 271.68 555 282.9] /Subtype /Link /Type /Annot >> endobj 16 0 obj << /Border [0 0 0] /Dest (M3.9.59537.Heading3.7.Series.GTX.Transceiver.Power.Module) /Rect [188.82 260.16 221.82 271.68] /Subtype /Link /Type /Annot >> endobj 17 0 obj << /Border [0 0 0] /Dest (M7.9.91703.Heading1.References) /Rect [339.3 260.16 384.36 271.44] /Subtype /Link /Type /Annot >> endobj 18 0 obj << /ColorSpace << /Cs8 1435 0 R >> /ExtGState << /GS1 1436 0 R >> /Font << /F1 1437 0 R /F3 769 0 R /F4 772 0 R >> /ProcSet [/PDF /Text] /Properties << /MC2 19 0 R >> >> endobj 19 0 obj << /Metadata 21 0 R >> endobj 20 0 obj << /Filter /FlateDecode /Length 6595 >> stream h[rIv}Wd_ڧ(0íPdEj>v>Bz'-Vn7wD?"30A^ܮ$q,L:HLďU}ps1e2D2f۫7"04A>b|<8a/'AF80~2aσ0б eP-Z5o2wժjsSVf0o*,z' +bD+"6gE*%RE)4?7fAiܟ.*"1Il}9.$nz|}14Q2@u_Qq0Kܰ_eYv$CE|PYO=dSN2ڀEypZ*ټgP"\YF3a˄Bam>k\Տ[+i7~Vmj7Cn(|`dRtKA}K\ABSe%gNfVVey=Ivo8>plWKtH jZBtKhYޘ]"Y=M\Tf:yb($)=Iُ̬aG!7_Z,0G'jtq> :r<$4Ia// #hcH^Qߦ;qGgM.aRaͅk&P5z/{20ipn1L( p#na.9z;Q#;}6`"#J4 εu%\@ʅ<иI!)@6߹-|0q6< 5ty7:܊ļЩc]l.Vޣ=A~8c&~Z䣱K% .&p3nPπLy6j>Xņhs1i} @}Kg˼c'D 5b -gF| Xn&↻G5,䫾zTE|jĪn sNm*.:.&Tw,s{-nAΡ40.^B("u:;o# A0 yk\ڟ8*.fNM z|1ݴ^^L f/ ^lSn3u=)3Ѕ擇ډQ kN(Ɪ)7N YYƣE{6պU}LbdB3V<,N.W4*#&2Z-WkbT;sjsd_7<&MFSN,PƸG,8gy\dykXQZ<Ik뎻O8eLdsQMxenȚ:ٮakG$ZbިmKIȚB߿H`[֡d48˙+lphc@\:"F#|xx^ n$weTc`L0ua~=hj>wKPDu] \gF*Oekx9Wm'RT HSN-{R:67%2xU֏D~UN(! eepyp't-L:e=:dIZeތ#LBxR" bLkrcbI"*w"zKr^}|vt~?8p`N.w6 UqjIXVWnCS_^SxfrJ? a5 XTЫ!rɽܴ:$4 h7q'<N㇒!O(#$ _)gO@ ?בid'׹Gܗ`)"3ϕdK$pLi%r?+N#cjL&>MD۫彸Rh9>=1#g Qq2;2>lh\szSzt=K0V1_OdoXM&gI4H,P( `ƣɟi{y:қ~ L@S;\v˭ ɸSM$B:$((seΠcFFx3n&M}56wV侂vw7$o9(*4nuI& "+/H kI .x֓(ތӽkĜ$h]60w84GR16 ^ϰsa¡SL[||]4'sq0g&5ʮq׬D ̍ !,E=fr4]w y&̐7p@9Kv+rnj̟L|N$n_pH(qYFEȹ(5W3WQvN71% ܌2-@ߺ WT^{D\7l]lYgDl?~SvPܹkl!dҩymod_E11% u&6vӬ0]UwJ%C?3*|fQADtc}B^:r2W Hd*,'uF.O@KRMl!9-Q!gqSߌg-`f*VxyKќD"(wA#iH0J#@裍0, G k0~b;5@l+/ ߰%"CJwѴ}>a-IHzӔSw%d8kuwHxr6 eM"1#bvcc ?uL"RfHZNG08Hc:bĶ4x)VೌH)?*hݶ {`tR{9~VI?~ݺgM•cbRSB8 h~GLb+R d7w "!#<3 ĵPw$[& F> stream Xilinx-Logo endstream endobj 22 0 obj << /Annots [23 0 R 24 0 R 25 0 R 26 0 R 27 0 R 28 0 R 29 0 R 30 0 R 31 0 R 32 0 R 33 0 R 34 0 R 35 0 R 36 0 R 37 0 R 38 0 R 39 0 R 40 0 R 41 0 R 42 0 R 43 0 R 44 0 R 45 0 R 46 0 R 47 0 R 48 0 R 49 0 R 50 0 R 51 0 R 52 0 R 53 0 R 54 0 R 55 0 R 56 0 R 57 0 R 58 0 R 59 0 R 60 0 R 61 0 R 62 0 R 66 0 R] /Contents [67 0 R 64 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 1416 0 R /Resources 63 0 R /Rotate 0 /Type /Page >> endobj 23 0 obj << /Border [0 0 0] /Dest (G1.1014901) /Rect [121.98 647.22 207.12 659.76] /Subtype /Link /Type /Annot >> endobj 24 0 obj << /Border [0 0 0] /Dest (G3.443504) /Rect [54 616.98 558 633.24] /Subtype /Link /Type /Annot >> endobj 25 0 obj << /Border [0 0 0] /Dest (G3.443925) /Rect [121.98 600.18 232.5 612.78] /Subtype /Link /Type /Annot >> endobj 26 0 obj << /Border [0 0 0] /Dest (G3.475522) /Rect [121.98 585.18 227.22 597.78] /Subtype /Link /Type /Annot >> endobj 27 0 obj << /Border [0 0 0] /Dest (G3.473503) /Rect [54 573.18 558 585.24] /Subtype /Link /Type /Annot >> endobj 28 0 obj << /Border [0 0 0] /Dest (G3.470117) /Rect [166.02 561.18 264.54 573.24] /Subtype /Link /Type /Annot >> endobj 29 0 obj << /Border [0 0 0] /Dest (G3.443999) /Rect [166.02 549.18 275.4 561.24] /Subtype /Link /Type /Annot >> endobj 30 0 obj << /Border [0 0 0] /Dest (G3.444008) /Rect [166.02 537.18 286.02 549.24] /Subtype /Link /Type /Annot >> endobj 31 0 obj << /Border [0 0 0] /Dest (G3.444014) /Rect [166.02 525.18 270.18 537.24] /Subtype /Link /Type /Annot >> endobj 32 0 obj << /Border [0 0 0] /Dest (G3.444020) /Rect [166.02 513.18 312.78 525.24] /Subtype /Link /Type /Annot >> endobj 33 0 obj << /Border [0 0 0] /Dest (G3.444022) /Rect [166.02 501.18 295.56 513.24] /Subtype /Link /Type /Annot >> endobj 34 0 obj << /Border [0 0 0] /Dest (G3.444024) /Rect [166.02 489.18 208.8 501.24] /Subtype /Link /Type /Annot >> endobj 35 0 obj << /Border [0 0 0] /Dest (G3.444028) /Rect [166.02 477.18 326.76 489.24] /Subtype /Link /Type /Annot >> endobj 36 0 obj << /Border [0 0 0] /Dest (G3.444048) /Rect [166.02 465.18 301.62 477.24] /Subtype /Link /Type /Annot >> endobj 37 0 obj << /Border [0 0 0] /Dest (G3.444067) /Rect [54 453.18 558 465.24] /Subtype /Link /Type /Annot >> endobj 38 0 obj << /Border [0 0 0] /Dest (G3.444071) /Rect [166.02 441.18 248.76 453.24] /Subtype /Link /Type /Annot >> endobj 39 0 obj << /Border [0 0 0] /Dest (G3.444084) /Rect [166.02 429.18 252.6 441.24] /Subtype /Link /Type /Annot >> endobj 40 0 obj << /Border [0 0 0] /Dest (G3.451451) /Rect [166.02 417.18 213.18 429.24] /Subtype /Link /Type /Annot >> endobj 41 0 obj << /Border [0 0 0] /Dest (G3.444088) /Rect [166.02 405.18 205.2 417.24] /Subtype /Link /Type /Annot >> endobj 42 0 obj << /Border [0 0 0] /Dest (G3.467450) /Rect [54 393.18 558 405.24] /Subtype /Link /Type /Annot >> endobj 43 0 obj << /Border [0 0 0] /Dest (G3.444092) /Rect [166.02 381.18 296.58 393.24] /Subtype /Link /Type /Annot >> endobj 44 0 obj << /Border [0 0 0] /Dest (G3.444094) /Rect [166.02 369.18 378.96 381.24] /Subtype /Link /Type /Annot >> endobj 45 0 obj << /Border [0 0 0] /Dest (G3.444126) /Rect [54 357.18 558 369.24] /Subtype /Link /Type /Annot >> endobj 46 0 obj << /Border [0 0 0] /Dest (G3.444135) /Rect [54 345.18 558 357.24] /Subtype /Link /Type /Annot >> endobj 47 0 obj << /Border [0 0 0] /Dest (G3.444149) /Rect [54 333.18 558 345.24] /Subtype /Link /Type /Annot >> endobj 48 0 obj << /Border [0 0 0] /Dest (G3.479596) /Rect [54 321.18 558 333.24] /Subtype /Link /Type /Annot >> endobj 49 0 obj << /Border [0 0 0] /Dest (G3.444204) /Rect [54 309.18 558 321.24] /Subtype /Link /Type /Annot >> endobj 50 0 obj << /Border [0 0 0] /Dest (G3.444224) /Rect [54 297.18 558 309.24] /Subtype /Link /Type /Annot >> endobj 51 0 obj << /Border [0 0 0] /Dest (G3.444238) /Rect [54 285.18 558 297.24] /Subtype /Link /Type /Annot >> endobj 52 0 obj << /Border [0 0 0] /Dest (G3.444339) /Rect [54 273.18 558 285.24] /Subtype /Link /Type /Annot >> endobj 53 0 obj << /Border [0 0 0] /Dest (G3.444374) /Rect [54 261.18 558 273.24] /Subtype /Link /Type /Annot >> endobj 54 0 obj << /Border [0 0 0] /Dest (G3.468640) /Rect [54 249.18 558 261.24] /Subtype /Link /Type /Annot >> endobj 55 0 obj << /Border [0 0 0] /Dest (G3.444748) /Rect [54 237.18 558 249.24] /Subtype /Link /Type /Annot >> endobj 56 0 obj << /Border [0 0 0] /Dest (G4.228151) /Rect [54 207 558 223.26] /Subtype /Link /Type /Annot >> endobj 57 0 obj << /Border [0 0 0] /Dest (G5.228151) /Rect [54 174.96 558 191.22] /Subtype /Link /Type /Annot >> endobj 58 0 obj << /Border [0 0 0] /Dest (G5.296786) /Rect [121.98 158.16 252.9 170.76] /Subtype /Link /Type /Annot >> endobj 59 0 obj << /Border [0 0 0] /Dest (G6.285601) /Rect [54 127.98 558 144.24] /Subtype /Link /Type /Annot >> endobj 60 0 obj << /Border [0 0 0] /Dest (G7.228151) /Rect [54 96 558 112.26] /Subtype /Link /Type /Annot >> endobj 61 0 obj << /Border [0 0 0] /Dest (G7.288519) /Rect [121.98 79.2 205.92 91.74] /Subtype /Link /Type /Annot >> endobj 62 0 obj << /A << /S /URI /URI (http://www.xilinx.com) >> /Border [0 0 0] /Rect [275.58 25.92 336.42 36.84] /Subtype /Link /Type /Annot >> endobj 63 0 obj << /ExtGState << /GS1 1436 0 R >> /Font << /F1 1437 0 R /F3 769 0 R /F4 772 0 R /F5 775 0 R /F6 796 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /XObject << /Iabc1575 65 0 R >> >> endobj 64 0 obj << /Length 18 >> stream q /Iabc1575 Do Q endstream endobj 65 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 502 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc1576 780 0 R /Gabc1577 782 0 R >> /Font << /Fabc1578 791 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=.PkP=(E'ܱYZ)Yv0m11:}ٓ#,J[㫤̵R̃sV7Өm?k!HUl)l,Rd!/dy> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 67 0 obj << /Filter /FlateDecode /Length 2538 >> stream x[[s6~LΒ%.ȾɒkVivv)l'EɴvEA ЌIy1# D IJ°Qf[*HT=!7&adr>H`ΐ$$2# $_#=? $ %/&M'VQzABӢfvX~c.2:+i/ HK'X e1|S.b2pQ] ]> =wtqe&۟ pX"04$1L@(T,]vi7/\GB{_6eCda0- UȖ+$*eC4+e^ՋS3l¾,6xgI< voAbŀ؈ -SL+ !֎a5=L AFf KeU$-f:+l' @ZVAP"ժ'lKɁ"Uh$WZ=*0BQ 7%VxU9H0:4g32Ϊi_K?ׁ\au}<@%@9e[|N`x"Ȯ|&uQwuzwŐdӠ)0vHx.X% L)@Ah`" < 0% WmRm ҬcC1=+ZLolLb؀; ǀ.8N?4Л.~\Ap6rl!HؠZlC'CKl;n@v?Ԏo@֔A,P -45f+ ?ky/TYM24 (Ф 1Y9.>֨vnы?S]_ b2=O<>K B95.zz 8"ô,Q>>K;p:/+k-=׀!1Eڷy>Z1ф+툼Lx}ۘ4;ʬ@Fqyx Mv1q2ր+_YV\7+E'S7{6GtL&6 $`CqP+Vbpau<0/3@x VfD ѩScWL4ZE6EÎx*QH yDn+9/ @}MN^ 1 1 ^/%i]Y%\ Bldݫ*띙¨3Lb}_`WB߆ &Ƿ°+J8aA/gdi9Q]6Vg~DҸu[rwu-qy1_Ln]6a٧ήphb>Ǵ}3qp 9&soY :yjL e?~Щx%?7:OhHNG#r8aq+}R]힛H@X9J^[Wj:+=F<_L99Z\Kk:d&ȈV U%2>2/jluid2|j}/;Dޣٿ+aca+l&ZZAuzC=7ĺj!:grj![%I/uXn^Eo2ٳT`ӌfaW}4N:fx:!{e>@)U` cA9e[" cFi93*L^aQgy}yۺC T4bѻCEaDhs9gdMIU`y_lbE7C>"{jcwKaep?tZyx}&4?2x~OkCAx'duy#Shܳx>'m:볾 F`G)d%fU]yQW gU^xjL9wxXZX?,nl*; Rt(I eb :x{8HH]YƘo>:GJHOs )I9lh@w(w9m,@)8`$'Y>,}=)`msnl|`0q4J% *22]IPH*:qa)|m Ⱥm}|H U_7RF endstream endobj 68 0 obj << /Annots [69 0 R 70 0 R 71 0 R 72 0 R 73 0 R 74 0 R 75 0 R 76 0 R 77 0 R 78 0 R 82 0 R] /Contents [83 0 R 80 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 1416 0 R /Resources 79 0 R /Rotate 0 /Type /Page >> endobj 69 0 obj << /Border [0 0 0] /Dest (G7.288529) /Rect [121.98 710.22 206.52 722.76] /Subtype /Link /Type /Annot >> endobj 70 0 obj << /Border [0 0 0] /Dest (G7.288532) /Rect [121.98 695.22 176.94 707.76] /Subtype /Link /Type /Annot >> endobj 71 0 obj << /Border [0 0 0] /Dest (G8.281287) /Rect [54 664.98 558 681.24] /Subtype /Link /Type /Annot >> endobj 72 0 obj << /Border [0 0 0] /Dest (G8.286568) /Rect [121.98 648.18 255.06 660.78] /Subtype /Link /Type /Annot >> endobj 73 0 obj << /Border [0 0 0] /Dest (G8.279219) /Rect [121.98 633.18 173.22 645.78] /Subtype /Link /Type /Annot >> endobj 74 0 obj << /Border [0 0 0] /Dest (G8.282179) /Rect [121.98 618.18 172.62 630.78] /Subtype /Link /Type /Annot >> endobj 75 0 obj << /Border [0 0 0] /Dest (G8.279224) /Rect [54 606.18 558 618.24] /Subtype /Link /Type /Annot >> endobj 76 0 obj << /Border [0 0 0] /Dest (G8.282598) /Rect [54 594.18 558 606.24] /Subtype /Link /Type /Annot >> endobj 77 0 obj << /Border [0 0 0] /Dest (G8.286851) /Rect [121.98 579.18 170.82 591.78] /Subtype /Link /Type /Annot >> endobj 78 0 obj << /A << /S /URI /URI (http://www.xilinx.com) >> /Border [0 0 0] /Rect [275.58 25.92 336.42 36.84] /Subtype /Link /Type /Annot >> endobj 79 0 obj << /ColorSpace << /Cs8 1435 0 R >> /ExtGState << /GS1 1436 0 R >> /Font << /F1 1437 0 R /F3 769 0 R /F4 772 0 R /F5 775 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC3 792 0 R >> /XObject << /Iabc1594 81 0 R >> >> endobj 80 0 obj << /Length 18 >> stream q /Iabc1594 Do Q endstream endobj 81 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 108 14] /Resources << /ExtGState << /Gabc1595 780 0 R /Gabc1596 782 0 R >> /Font << /Fabc1597 791 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n w/x(R\T1B2h7r K$V-dAQ\0j4GCIB%97u%9!^\y( ^)Al\9 " ٷGӮBxgH h@1H!6hxaxOBD>.PkP=(%NeV%p!g%`NS0Q8F$!ëiWm_;!xڡ0 '^B9/I NЋm߽?9 endstream endobj 82 0 obj << /A << /S /URI /Type /Action /URI (http://www.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG932&Title=Kintex-7%20FPGA%20KC7\ 24%20GTX%20Transceiver%20Characterization%20Board&releaseVersion=2.2&docPage=4) >> /Border [0 0 0] /Rect [108 14 194 36] /Subtype /Link /Type /Annot >> endobj 83 0 obj << /Filter /FlateDecode /Length 2058 >> stream xYY~o=F[I &Qz9|Udb$FUqH~UAF+sݘ SG郐(e46,}s٘8L:04)B b;Ix&W:L7gBeDW`|(lVb?[6rM`0h:uYPҷj:\6n~J, 49!]YIّiy$=5N<+fnn3ȋ2 eԯ>{q#ۥLډ? 6%byW\ܬv[dϴ Ꜵiìfϲ5滙fyulЪHJ J7:O)Oi)ra291酏3JFb c6d'䩑 (n~oos+\7/&x_p:.%Ƽk^kC[M_-ίX^]PF]/%b2&fT0G#4FZn<5#+X/7xGxvDXNm#o~c^rT hq#8&;Rd; R.A<,O>0;3ң3zBFwgS /]eƢ-j1tɞdpdT/aYsXyF0r i4ZIug) Hs>I9yq4m^Zڠ]V)t]q$a.,Ӱcj<,U`⍦<>L D_ )~nL<2I'H||ҹʼA\#c4q?1؀kh f@E*`p`:NlHKJ߫=^d3<(ڭ8dz_'Hɗ%$I0*J425Zc3u'kT~Y\mՒ+5=tDcw 7#<T9NE.V_3KuԔ^\DVj%'>~_J[[OݕzOF&bs{O [oqWD0ԋAr endstream endobj 84 0 obj << /Annots [85 0 R 86 0 R 90 0 R] /Contents [91 0 R 88 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 1416 0 R /Resources 87 0 R /Rotate 0 /Type /Page >> endobj 85 0 obj << /A << /S /URI /URI (http://www.xilinx.com) >> /Border [0 0 0] /Rect [275.58 25.92 336.42 36.84] /Subtype /Link /Type /Annot >> endobj 86 0 obj << /A << /S /URI /URI (http://www.xilinx.com/kc724) >> /Border [0 0 0] /Rect [162 497.1 558 508.92] /Subtype /Link /Type /Annot >> endobj 87 0 obj << /ColorSpace << /Cs8 1435 0 R >> /ExtGState << /GS1 1436 0 R >> /Font << /F1 1437 0 R /F3 769 0 R /F4 772 0 R /F6 796 0 R /F7 807 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC4 792 0 R >> /XObject << /Iabc1613 89 0 R >> >> endobj 88 0 obj << /Length 18 >> stream q /Iabc1613 Do Q endstream endobj 89 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc1614 780 0 R /Gabc1615 782 0 R >> /Font << /Fabc1616 791 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< + 5p: 橷൫@J >)W^.Pr_Jcȅd2n~A1H[FA5P\0iDD+%̕'I"fZĀ0dD/P|}{Ԭ9*{0J*q(~S1jqbG /_I٧j gVĉwlVRVaz֦b/mk: s[({L̇h*Ȩ%#5fe8>϶FU3ۏ}bH& d_ EC^B 0)xp´!ld̪'o.U1" ^/|v+1@w. (s(_|۾{w endstream endobj 90 0 obj << /A << /S /URI /Type /Action /URI (http://www.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG932&Title=Kintex-7%20FPGA%20KC7\ 24%20GTX%20Transceiver%20Characterization%20Board&releaseVersion=2.2&docPage=5) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 91 0 obj << /Filter /FlateDecode /Length 3544 >> stream xYrݳjxeg<Gey,O*΂ I )3Ɯsd؍{&ZN|iU2/ӼP6YfUjl]תo&7S.ϰ~ZLYYv;U<+gNid;g"L˚ţ3Z/ 4˴S _ǥl]*:kϔɴ`A* Ɓ5e+!-(\pM.SѼ2c]Vtȧ?:z0GM$ 膠ruZHTG!ڤ`,+XGɲB尷!ޞtYncN'oG^ɍ͌'1>N[q7vlvzz~lͬ,]pBF 5uf Zwj>afSgsCϷRI fyh'$gG1ˍx,Sb"{b?I:94I-<-uR"Jh'|̎e2]=CZ@ g@ڣeR N'q+ ,xKWP~t ]Z7$p)*4{55~efld#yS~^v~ "T'C]N?!o\jobN˲B72,]9Nt N>}W &. Vr[DêQ*(`rSLN%֭RlMZ9F\1ﴨLpeee$!x*j(tg4 C1#f4yfwo1܀ud}Q&$U,Qghα`ZA*nH$ը렦ib\9 1nezoL` :Q$H2-8ՉhT&"jK tK֖',/eTH7cJeRK=@ Ga<4ׁW֐euႰ(窠CZeP[j'2ҾX> ìXJ6 T\d>FeҡHz^Tȕ(0- %)6tX@N(Q\z"%rl9L儷H[=0̽P}^VUw XPo`=I%?#Cb۽5uzJՙ)H)trX5~&W"wSZDо'N5/p5[JK뜠ZT+.ΏxCq9{8鎯Y3lC'VzjziCj5p6/ԺD˻vfޖ:vѹh=+BkUD~=unDHo,nMu7ELً>|Ġuvi>;)g1U./1*˸ @O_'ylQqhk:Uܪ9˨6uv5 Ffz)K};hRbzFfivU-?dh ss?oM="kg \rRx "M?Zqx,Ԋoӫy`»0)oǥڛ2!eLs*OptpK^+G@L2 x,gʏsI(FUV~Ɇ#nћ34~O\"^*fEf8TfLb9k⫽]N[|.U܈t'>ck=+~#Md"R.S{nCsn7ںʔ+1|p~(+( 4jxx:W3K&# &*ڈQOJK33??VѲPϖXhO >}^tUe0zj" \-l%ȀĔ}V|%:} AdFOeub.(]Eռc="b"##U~ޮ^,'*AφQC9Al1=WD'T*[[D߿ryt~NJo%/Qz% @EhW]’ `w1089Yhm&װ~Po_pB԰ީ?YFr6ldkBV?(cX ;\| gN\Mqh*MĀd]$*0W`|̩9D2|vOfeHp2~\]jIQ j5J#WJ6H5|^IŐD{:40tP暏}'s.qkc29r[9/ V2K޶q y6Zl69,[n ۠:cnt%pTiyT@dvfo+A mx B@L'Nm/)ຽ! z-ZBF!r*0跍bzh7w Q[6]+*૰նE+:R`$##@Fl4gc';0 G:d7ɫ mm] ;x8sE:J.6LmSg1,I`k1X"+wa` W%a(}M?c3Yj/BB8B> endobj 93 0 obj << /A << /S /URI /URI (http://www.xilinx.com) >> /Border [0 0 0] /Rect [275.58 25.92 336.42 36.84] /Subtype /Link /Type /Annot >> endobj 94 0 obj << /Border [0 0 0] /Dest (G3.445802) /Rect [360.66 709.86 404.58 721.86] /Subtype /Link /Type /Annot >> endobj 95 0 obj << /ColorSpace << /Cs8 1435 0 R >> /ExtGState << /GS1 1436 0 R /GS2 803 0 R >> /Font << /F1 1437 0 R /F3 769 0 R /F4 772 0 R /F8 800 0 R /F9 797 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC5 792 0 R /MC6 96 0 R >> /XObject << /Iabc1632 98 0 R >> >> endobj 96 0 obj << /Metadata 101 0 R >> endobj 97 0 obj << /Length 18 >> stream q /Iabc1632 Do Q endstream endobj 98 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 108 14] /Resources << /ExtGState << /Gabc1633 780 0 R /Gabc1634 782 0 R >> /Font << /Fabc1635 791 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=e9 M \097LUǪHB>W!Njڎ>E tw%BJzڡP/v҂bwo h endstream endobj 99 0 obj << /A << /S /URI /Type /Action /URI (http://www.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG932&Title=Kintex-7%20FPGA%20KC7\ 24%20GTX%20Transceiver%20Characterization%20Board&releaseVersion=2.2&docPage=6) >> /Border [0 0 0] /Rect [108 14 194 36] /Subtype /Link /Type /Annot >> endobj 100 0 obj << /Filter /FlateDecode /Length 5118 >> stream x[]6}|4A̛{ӵG'JW?$RUɪ:_ϧ:, 6Op͕kI*=g?}ur}h<ڿ˛w<᫮b0sU:P8̝M8Ě67ڸ7E 7 Çe~Z]m—6mCqذ1/vvyڬztv AAk-)55fNgj$ko_Mk]Tϗ7?p\i[Liikon¸yjM~u?t .QsW.4Tnִf7hoΟ ^R[݅5K}BIog܂sTi@ z|ɏ TKo2|nџµY(uuaB+ƨ6R-"dZɀנ-=Mt d*ɺg7ˏWS_=:;=j NaG9O9#F`ۀ>G -#0wPgČm d수i=Z"AߪI_M<*ߺrqXtoI682@ E؄lkLHc05Tfʎ@ջi(E1J1ɂtXc79:s]Fha 纁q=,~?NNMDPȻi6?]#c4L@57(r 貆-N9AE/m<$2/!@+R^2Cȸ#w:32[[6ē`ctn_6$+"P3qL:q= ;]Xnbu>?$ i5ل1[^e| }@a! ϟ fO6mG&(K` 㙖^?]$]@8>͸*23Б-e#m6i( 6ccDK.) mǬDu;PZ/dkų2S|l,B6w/Jܲ-+GQb$yRΩ/|ۇ4D_ )hft~E#1!E#ѻ˛OnXH\O04 yf~^ض/> 3R,.N*R>I@,*U!u@:Sg8Mq4/uuHz(}|, tS=DƠ\A˜MOf1HshkTq|.~ʈz.Hw[3{%RP? rt>?UgkB ,) |q5G;KLe@@,HꪨI,+ss0$o.PPlkI=it)&X ,:N.d~CL |ډAkR?!A7R|I|RĤƧUϪ7?ѳ4{]N,p,"sTnh(qJFyI«f}iUTŊAҎ,ϫ`XCTAұY (U?n&4W!j{pwd#_N2[g`6`N0  & % e}\{ N@`Fhb/ET- 8`$'pbv|0;܆XRooowӦo<;{KΥcv~5!}8`̖f~Wg3֏E"q|%n^!wY$$ h 4 E8ܰy稪jL4F~;zv5hjL%}}op*}wp";CZ}"Yv^/#xn,a3}$[ֿ. ْ8fAfoZi|zTN.iAu'醌i9iUkҨlL`KuVR˭r!@SI尓ؔv@,Y=,qiҸW*qhJ+(AR^(,ǦmFze{=MkKx^T,)zSit? <3L4OqY.7vF^V9=3&#npb};C,#=ؽ7;Fry%^sgE@&"K~X_o$EV7%vM \RWr`(+E³4ġ\t8>no ^s r}.VoM1Rվ@M=šhXcg֢H;z?nPƍYZ{[ۙxl[Jx|)u\qN.fUBrװ' =zXgg\x1;\3ݵǽkpj:՜wt VnaiRbO)I"6ۖ_O1&,zγ7b¼ys w }lYc_H|ڎUZ_hz in0wjDc#:AEO^<{4s}_FMa"O(Ǭ~k߁.-AVb8,yHɻKe0ݱ@a/&<쵖3=vHwaAknn38"yKQP?W/%+0˰8%"%/a)wXLj/K fN#"ޮphuۍER]|mnn./qE"vI1$9Td nn& ʩvƪ23}om_VR1q{?U=A 7JS%6^ck/-]keyXzVF+k;7v^܍6OD͝ub#-3.x"M.ۙ?QٔꤼjɂC5Xߐ-W/ 88oOO9^h`Y:Q2ˇ#d01ҽcuICÿ-žar_&3<(}?~GXp+`-bzʳM#u=wĒJa&?+A.G+h@W E-cݺv8A{ۓ^]8SM2.s{\y·崓!8hO<aUr9۶پWjjWpQ^T.8.B2g\kr/ʍUgq\-;-_'ǡg?d,Jx 5w])/?$B0A 4 5㚱|w%@=~S *7ڶRs'* u9*ý\0@3NN`k|m+Nz8 \#pѵ?! > stream application/postscript Basic RGB Adobe Illustrator CS3 2010-03-05T13:19:02-08:00 2010-10-08T12:20:41-07:00 2010-10-08T12:20:41-07:00 256 184 JPEG /9j/4AAQSkZJRgABAgEASABIAAD/7QAsUGhvdG9zaG9wIDMuMAA4QklNA+0AAAAAABAASAAAAAEA AQBIAAAAAQAB/+4ADkFkb2JlAGTAAAAAAf/bAIQABgQEBAUEBgUFBgkGBQYJCwgGBggLDAoKCwoK DBAMDAwMDAwQDA4PEA8ODBMTFBQTExwbGxscHx8fHx8fHx8fHwEHBwcNDA0YEBAYGhURFRofHx8f Hx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8f/8AAEQgAuAEAAwER AAIRAQMRAf/EAaIAAAAHAQEBAQEAAAAAAAAAAAQFAwIGAQAHCAkKCwEAAgIDAQEBAQEAAAAAAAAA AQACAwQFBgcICQoLEAACAQMDAgQCBgcDBAIGAnMBAgMRBAAFIRIxQVEGE2EicYEUMpGhBxWxQiPB UtHhMxZi8CRygvElQzRTkqKyY3PCNUQnk6OzNhdUZHTD0uIIJoMJChgZhJRFRqS0VtNVKBry4/PE 1OT0ZXWFlaW1xdXl9WZ2hpamtsbW5vY3R1dnd4eXp7fH1+f3OEhYaHiImKi4yNjo+Ck5SVlpeYmZ qbnJ2en5KjpKWmp6ipqqusra6voRAAICAQIDBQUEBQYECAMDbQEAAhEDBCESMUEFURNhIgZxgZEy obHwFMHR4SNCFVJicvEzJDRDghaSUyWiY7LCB3PSNeJEgxdUkwgJChgZJjZFGidkdFU38qOzwygp 0+PzhJSktMTU5PRldYWVpbXF1eX1RlZmdoaWprbG1ub2R1dnd4eXp7fH1+f3OEhYaHiImKi4yNjo +DlJWWl5iZmpucnZ6fkqOkpaanqKmqq6ytrq+v/aAAwDAQACEQMRAD8A9U4q7FUr8yxRy6YsUqLJ FJd2SujAFWU3cQIIOxBGKpX5pl/L3yrok+ua9aWdnpdsY1muPqgl4mVxGnwRRu5q7gbDFWH6j+cX /OPGnNGt5e2MTTRieMfo6diYi3BX+G3b4X+0h/aWjLVSDiqfWXmf8pr3VdM0m3gtm1DWYmuNOhbT pU9SJeZ5l3gVEDCJivMjkN1rUYqnr6TpVlrumyWdlBbOwnVnhiSMkcAaEqBiqe4q7FXYqkcmlaXe +ZrxryzguWSytAjTRpIQPVudgWBxV59P+an5bJqWsafD5Vmup9Cu2s78x2+moilEuJGl5T3EVI1S ylPx0alDxowqqoeZPzf/AC18vabBql75Qu302eGCcXUNrppCi5aZI0eNrlJVetrJyUpVaUajbYqg Lr8/Pyftorh38s3Be1jglliFppwbhdQyXMJUtcKjcoI/UAVq0YD7VVCrVh+f35QX13BaweVb0PdW txe27vp9mqOltatdOquZqciiEDty6kDfFWbaZe+SfNv5by+Z9G0qGK0vLK6eAS20Mc0bRCSNgwTm Ayuh6MfnirKvM8aSaFdRyKHRwqujCoILqCCDiq7/AAz5b/6tVn/0jxf804q7/DPlv/q1Wf8A0jxf 804q7/DPlv8A6tVn/wBI8X/NOKu/wz5b/wCrVZ/9I8X/ADTirv8ADPlv/q1Wf/SPF/zTirv8M+W/ +rVZ/wDSPF/zTiqT6F5r8oWGkLazaxp9q1iRFcQPcwRmEySMkSOpYcOZWig9e2KrLPTNGh8kwapF oltqV1Fpq3KQJDG0lxIsAcIG4OS0jbVoeuKsEXzzrUcstrfflDLaXcMfqSyCM3VoOQgMaiezs7hp CTJMrCKNuPBSdnJRVH+Z/MWq6Zp1vNpn5ZpqN3cTXkTxLE1IY7aUxwzMEtHZvWWkgX4dtgx64qka fmN5hNpHdP8AkzcLHyX1k9KQzBfrJgZkiFiWYheMgVuPw17AFlWb+Qby38ypqJ1XyOnl82UsUdu1 zb/DdLJEshli9a3tZAqsePxIG/mCtVVVZRoNtbWtxq8FtEkECXi8Io1CIK2luTRVoOpxVNsVdirs VdiqW+YP94Iv+Yyx/wCoyLFUdPbW9wqpPEkyo6SIsihgHjYOjAH9pWAIPY4q8d1LQfOjag8d1+Xv l3UNJQn6zI1lbcpFN1PcTyoPrE8h9SNFpH6JPrS8iWCsCqzPybLbavefWbvyjFolxptvbCxuJYCJ FWWMkxRNJbwcVjqVorVFfiVDtirIb/8A47Ol/wDPf/iAxVMsVdirsVS2D/lJL7/mDs/+TtziqYsy qpZiFVRVmOwAHc4qkp88eTBaRXg13T2tZ5I4oZ0uoWR3mkaKNVZWIJaRGUe4PgcVVIPOPlG4tfrc Gt6fNa+tJbfWI7qFo/XhjM0sXMNx5pEjOy9QoJO2KorStb0XWIJLjSb+21GCGRoJZbSaOdElShaN mjLAOtRVTviql5nr/hrVqbH6ncUJ3/3U2KpR5rg82GGFra8sV0xa/pKOS2mM7/HH6QhYThU/a5Fg e22KspxV2KuxV2KuxV2KpFoOj6RNpKPNY28jznlOzxIxcxSMYy5I+LgSeNenbFXlN15Q8yS6Zbza Z+ZLQTSRwr+ibq7NrDao03qOEa2dZi0MbcVR+rKFYiP93iqK8wfl1LqdrwtPzf1TTZ4E9PTzDfL6 Ua+sXpOFlSa5pFRA0k3PlUlitEVVdB+X8qSzLL+a15LaTz280kX1+dZCsUYWeNZhe8o0lfk6+kE4 8iG50TiqgLL8stXtpL5m/OS8nS4h4WSSXVw31eXYGSp1A+oCnIcTTchgQyjFU10vyXf2eiXGmy/m pJdSzXFxOl1JcTF445mtmjgVzfNPwiNq619XkVlYAqfiKrO/IVq1rp13A2o/pYx3CqdR5FxKVtYA SGZ5mIUjj8UjNt8TM1TirJsVdirsVdiqF1Oya8tPRSQRSCSKWNyvMB4ZVlWq1Wo5JvuMVQ/oeZP+ W6z/AOkOX/spxVRn/wASxy26C9sz68hjJ+qS7Ujd6/70/wCRiqt6HmT/AJbrP/pDl/7KcVdFp+pt fQXV7dQyrbh/TjhgeIkuAKlmml7e2KplirsVdiqWwf8AKSX3/MHZ/wDJ25xVE6oqPpl2klsbxGhk D2YpWYFDWIciB8f2d8VfO9/Y6FPb8m/KjWdTvHZWuIprjWZYmhVboXFwr3iQ8riCDktvzVZubqId gpKrOfJicdCtja+SLmwddYl4Wt5d6ixiK6Y6x3Be7gEojApa8VUxLWsbNQVVTX8kILWHy1qaW3lG 48mRHVbg/o66luJnnJji/wBLBuURlWTpxWq/DseuKsx8zf8AKN6r/wAwdx/yabFXeY/+ONcf7D/i a4qmWKuxV2KuxV2KuxVLfLn/ABxrf/Z/8TbFUnii0+08nprl/Lfyi308X136d5dc24QerJxX1lWp oaDYYqwFfzv/AC9Esttc2PmKw1CCP1Z7G/nks5IkYQGMyvcXscMfqfWSE5yCpjcdeHNVV1f84/It ho8Gpx2euXiXU93bQLBelhzsn9N3aQXpQRsxHEqWNP2cVS1P+chPy1a0jvDYeY1tGZVkuDcMI0Bu TaliTfVZRIBUoDsfZgFWb+QfNXlPzumotpS6rD+jJYobj6zeT8WaWJZlMUkNzNHIvFvtKxB6qSpV iqyrREMU+qW4klkigu1WL1pJJmVTbQORykZ2pycnriqaYq7FUBbXep3FtFOttAFlRXAM71AYV/31 iqr6mq/8s8H/ACPf/qjirvU1X/lng/5Hv/1RxV3qar/yzwf8j3/6o4qkfmWbzWLrQP0daQsp1SIX 5W4AK2hhm9Zv3kJ5UG/FaMexGKsmxV2KuxV2KuxVLYP+Ukvv+YOz/wCTtziqJ1RkTTLt5Lk2aLDI XvBSsICGso5Aj4Ptb4q+fNWubSHS4/rv5s3tlaLdWiKgsdQjuI7x3uTZpJ9cuJZhEZB6syS1Z0jF HRClFWX+VJdN/wAP2/rec9T1NG1ebjcfV9WhllDaVI31fjLLLPwaOtyjqfSL09JVPHFWRfk7qOhX 3lu8bSfMdz5oMF/NDf6ldfWxxuURC0UaXjSMiKhVqI3DkSVoDxCrKPNChvLWrKwqpsrgEexibFUp ufKXlrRNAuodM0+G3R5frDbF29WSRSzcnLNv88VZTirsVdirsVdirsVYXpf5eeStQ06W5vdIgmn1 F45L2RuVZHtZXeEmhH2WY9OveuKptp0OoP5EtYNKkS21BtLRLCZxWOOY24ETMKN8KtQn4T8sVeej Rv8AnJBvNclvLr9n+hfq808d9BBaxW5mEp9C2MEkNxdKSOPM82XhWj86HFV995T/AOckX8xxT2/n fTRoUspN3bR2cVvJDEWK/wCjerbXpYhKOokkNG+ElgORVa8paZ/zkc2oeYptf1ayWz+rXdtoFtIL be9Doba7DW9sWW3K814ylpP5kxVmPkPTPzGsZNQHnHV7fVUf0xYPbqiUKtJ6jFEt7f0wyGMcGeU8 gx5gMFCqd6V/vfrP/MYn/UHb4qmWKuxVC6T/AMcqy/4wRf8AEBiqKxV2KuxVKtd1Wx0640g3khjF 1fLawHizcppYZQi/CGpU9ztiqa4q7FXYq7FXYqxzUtTuLHzDcG3gFy8tpbepHxuyUCy3HE1gtrhf iqe4O3TFVreZdTZSraWGVhRlKagQQex/0DFUgi0PyrCQ0PkbSo2EUtuCmn3Cn0Zw6zR7ab9iQSuH XoeRr1OKo6wNhp0Ag0/ytZ2cAna6EVva3kSfWHQxvNxTTgPUZDxLdSNsVVdJu4dHgkt9I8uW2nW8 shmlhtLe9gRpGAUuyx6eoLEKBXrtiq7VvMF/c6Ve281kLeGaCWOS4ZNQIjVkILmtio+EGvUYqnvm P/jjXH+w/wCJriqZYq7FXYq7FXYq7FUt8uf8ca3/ANn/AMTbFUj0ryjp9/pdnfTOqy3UEc8iraaf xDSIGIHK2Y0qe5xVhd557/JeziupbrXxHFZSy291Ium28qJJDO0BUvFYyJVmQlBWrL8Q+EE4q5vP n5KratdHXm+roxjeT9Ex0DgAhf8Ajn9Xr8A/boeNeLUVavPPX5O2lwbWTVpmu148rZdHjMilmClW U6eKSLy+KP7YoRxrtirKvK+m+TvNOiW+uaFeG70u65fV7g2FnDy4MUPwTWcbjde674qyLy5bJaDU LKPiYrW6CRkRxREhreGQ8lhSJK1kO/HFU3xV2KpJeSPH5KnkjYo6aazI6mhBEBIIIxVE/wCHNG/5 Z/8Ah3/5qxVgvnHRvzPXXhD5O0zQ20Uwwg3OqyXfqC4Z5DKSIJl/dpGij7HLkw6ry4qpNoa/nPPI x1fyfYWqxJeKCl0tJJGtvUsmVFu5zxS4haKXk6lhLGwUUfgqk+qWv/OSETiNPJ/lrUnt6Os8U86x M5QlTD695HJVCeLc0Xf7NR8WKp/cQ/m6ml2MkXlXT31KZWa8gEv7uArp0UgXk1+nJm1B5IxxqOC7 no5VSmWf895NHie08oaUNYimuIr61eVZFosEUls446oojEkjODV2YCh4/wAyr1Ly9ofr6Bps+uaf Haa1LawvqdrFI7RxXLRqZo0IkkBVZKgfEfmeuKomGxtrLzBax2qmNJrS5aROTEMUktwpIJPTmfvx VOcVS2D/AJSS+/5g7P8A5O3OKsE8/aV+e11rFyfJ+s2en6bPJbx2QkSGYwqkEzXEk4lg5Ksk3poO DSkbHiAWoqwWC+/Nu3uprW//ADc8uwSkehbQpc6e0jaiJU9eKRJrEtQLIVCIQVPH4QDQKp3e+avO Vxqmoahp35h+W4NEkUzwQG8t4mtOcZjhEwntrhnjZIBMRyiIkdxUqnxqq+mW/wCd+saXYXGk+dtG 1JYbKODU7mxltmSTUBd+tI0cq6fcogNi6KP3fU14ftYq9N1pbtPJ9+t26zXa6fKLh4xxV5BAeZVS dgW6DFVnmC7nbSZ1NlMgJQF2MNB+8Xc0kJ/DFU7xV2KuxV2KuxV2KsL0zyfPeadLMvmLV7UXrRuI beaFUg+ryu3GANCxQScqSbnkAMVWX1954s/Knlz/AApYRajM9vAt36/A8UEK8a8riz4hj9p1Lle0 b12VeZ67pH52vbyRWn5Z+Ur+1lS3L211bWyMxt4UhjDp9ceMmNKoq86RqOKs4FSqrx+QPPLeeU9b 8v8Ay1/huCe4jtJxBEkcNnczQwy/6Kl0I5ZZLSNizvFXsNv3ZVU5PKHnS6uYdct/y10OS5NhbWwh uI4oIWs5I6TW5spLl41lSeRGHJEb0YmUvycRKqy3yhefmbpM2j6XN5TsdJ0N5Gjmg0+1hgjjDyyE yUt765W3bgObJwkQ/wC/ebBAq9B0r/e/Wf8AmMT/AKg7fFUyxV2KpHqH/KD3P/bMf/qHOKp5irz/ AMw/kl5R1/zNdeYb+a6N5dD4okNuEVxCkKOrGFpqx+krxhpCqP8AEgUs1VUkvv8AnGbyHeWN/ZPq GrLFqM0087i4haUNPLFK372SF3b4oFqXLF9mkLsqMqqJX/nHH8vzoN3oc8l7Pp97LBcTqZIYnM1t FLGkheCGJmblcNIS1fiCj7ACYqlemf8AOMXlzTm+qQa7qK6Ktr9VS0UW31h63DXLGa6aJ2ZA5X04 0RAtCdy78lVTR/8AnGH8vLHT5bKDUdTubWR5PU9WW1fqjxOlVt1pTmwJ+11QngWUqvUPLXl+x8va HZ6LYFjZ2MYigLhA3FelfTWNa+9MVdP/AMpJY/8AMHef8nbbFUyxVjupa3a6T5gne4CsLi0twiie 1iYenJOSSs80LUPPYgU64qt/x3pX++/+nrTv+yrFWHP5d/Kt5/WfS5nakqhH1hGjCTRvEyCM6gYw ipK4jULROR4BanFUNceSfyYuLVrSbQA1s4IMP6ThCjlUMQBfjiWDUYjcgKD9laKsn8tat5P8s6Lb 6Loll9U0y0BW3t/rtlJxBNT8Ut47nc9ziqI1bzhpt7pV7Zpwia5glhWSS708IpkQqGbjcsaCu9Ac VT3zH/xxrj/Yf8TXFUyxV2KuxV2KuxV2Kpb5c/441v8A7P8A4m2KpHpWgahdaXZ3MN6ttFPBHJHb q2ocY1dAwQcb1RRQabAfLFUV/hjVf+rn/wANqP8A2X4q7/DGq/8AVz/4bUf+y/FXf4Y1X/q5/wDD aj/2X4q7/DGq/wDVz/4bUf8AsvxVGeXIZLf9I20jLJJDdBXmX1SZC1vC4ZvWlneoDcftdBiqrqfm nyzpUhi1TV7KwlHp1S6uIoWHrc/S2dlP7z0ZOPjxanQ4qjbS9s7yH17SeO5h5vH6sTq684nMci8l JFUdCrDsQRiqU6h/yg9z/wBsx/8AqHOKp5ir5u1EflFHLJ6i65YsLjUFcS/otaSJGscsMX13kfTt wos2EPwBY6XBMI5FVjf+IfyFub2C3udN8y3tvoLWyXgEOnXGnen9YmuEluIrRmt2ije7dOUacQr8 U2bdVmOpa1+Vf5gSapaXtrrUN3ZF/M2u6KkVrHSbT9Pt7Z7dzKtQ/F2g48lb1I5QSoC1VQ+u6f8A lhqeox+TtWvNbuJtGeLSP0nEmnem8mozpqHKeVllb1UWF5J3dVUiORwvLjirLbLzz5Q/LltZ0G3t db1C/N3PdvDKI7mW4vpVgUiD025t9cmkWTZOKySUb0+UaYq9X07ULPUtPtdRspVnsryJLi1nTdXi lUOjj2ZSDiqFn/5SSx/5g7z/AJO22KpliqWwf8pJff8AMHZ/8nbnFUJ5uvvNtnaWzeWtPj1G5lla KdJWRViVoZPTmbnLBVFnEfqBSW4k8VJxVg2ka7/zkPdQ3qar5e07T2GkXE9nJC0TudVWcrBb8Tey rweABuRovLq3bFUfrGs/nf8Aoewi0rQbFtXvYY1ubiaSOOCzmZpUkkmUXErUVTDIEi9bpIvJvhJV V/LOpfnVeanbvr+maXpmlSXUhlgjUzXKWTJKYVeRbsxidXjRX4I6ESAinFsVZd5nNPLWrE9rO4O2 /wDupvDFUN5g1C3fSJ1CTAkoBWCZR/eL1JQDFVPXfPflnQtQXTtRuJlvnhW5jtobW6uXeJ5RACgt 4peR9VlTiu9WUU+JaqvKPPPmvynrHmUajH+YOr6JYWES/pHRLax1eLlBD6jTXAMAgdUZZFDT8WRa LQhiDiqQ6BdaV5Z86fW9T/N7Vbm1gle5vdIOnaituqfWJLWQyPK1zbwwtcgq7tGB3RkJVwqmutSe TGtNOhn/ADP8xaasFveW7G1bU1eV9Kna81WWRpRPLySP93HzY8V2Bk6Yqo6F5q8tHXYNXH5p32oW sWoi8tNMk03UGWR54XX6vxk5u6yW0FyqJEqqJz8K1URFV6pof5vfl3ruvRaDpWrfWdVnMwhgFvco G+rCspWR4ljKgdG5Ub9muKp/5c/441v/ALP/AIm2Kpdp/mXy5o/l/Ro9W1Wz055bCOSJLu4igLpD ArysokZaqiirEdB1xVLvMvnXy3f+WLmXQ/Oul6ZIXt1XWVvLJ44RLNStZluISXSGUIrL8RUgFftK qwnXPM3nbU9e1N/LX5k+WbTRjLAbOA3NpcTwwvA9v8ZMDANLePEV5Ft9gf2GVRHkPzB550/XLd/O fn/y3qukXjTJbQW9zbRyyfWhA+n+lxgt+bUEm3L4lcEctqKvR4PPPkm4t47m38wabNbSv6UU0d5A yNJzWPgrByC3ORVp4sB3xVIGXykfPt2b2K7OviU/U5oI7/0xD9Tg5gyQD0K+zHlirHfNvmb8spvN T6Vq2jarf6lpdxpf71GlCNO5ml09GVriJnHryGMLKnAvIlaruqr03QtC0rQdItdH0m3Frp1mnp28 AZn4rUk1ZyzsSSSWYkk7nFUFqH/KD3P/AGzH/wCoc4qnmKvHbvWvPsT0j/MDQJA73ZgD3Vnbn0I7 dJImYG0uOb/Vyty5XisTPypLFxTFUFba1+Zx+vzf488uNYm2SR5TeQ87OXhAUcStZejNHNCYnMnp oqvO1EYKgZVkOt6l50t/KcVzB5y0a2vptMuIm1O6nto7P68lwipdwk27Axgv6To1QvJR8TDkyqGv dS82W2h69Ya75x0WKeS5tLXTNRuZLNLYes31mayuYZIxWV7ZyoWnxRcGFGLtiqYeU9U8z6VeS6h5 z82aNdaRPavDDwuI4zFNpzf6RJ6np28UrcpJUuCEQJ6SHiOTKirPNP1fS9Ra5Wwuoro2coguvSYO ElMaShGI7+nKrfTiqTeZNKv9R13SkstWudJeGG4lke1S3cyok1qTE/rxy0Vu/Di3vTbFU5tRMl3N FJcSTqI43USLGKFmcGhRUrXiOuKoKa6Wy165mminaKa1tkjeGCacFo5JywJiR6UEi9cVVv8AEFh/ vq8/6Qbz/qlirz38wvyv8hefPMFjrmtPrUdzYW7WkUNtazrC0blieayWshJ+M9CMVY4fyD8kHzL+ lP0jrK2Ppofqkenyxz/WI7xLpXNylutY/wB2FKGPkzfGzl98Vey/4gsP99Xn/SDef9UsVQWt6tb3 ei39rBBePPcW00USmyu1q7xlVFWiAG57nFUb5j/441x/sP8Aia4qt1Xyt5Y1h2fVtIstRd0WJ2u7 eKcmNCzKhMitVVLsQPc+OKqNz5I8l3Vuttc6Bps9shDJBLaQOgIZ3BCshAPKV2+bN4nFV1p5N8oW dwLm00PT7e5GwnitYEenrfWPtKoP99+8/wBf4uuKq8Xlvy7DDJDDpdnHDKJlljSCJVYXLB5wwC0I lcBn/mO5xVBzeQ/I09xc3M/l3TJbm8ZpLuZ7O3Z5nkRo3aRilXLJK6kt1DEdziqrZeTPJ9hqA1Kx 0LT7XUQ0ji9gtYI5w0/963qKgesn7Rrv3xVLvK/lfS4lfVke7+t3q8Jwby6MVI5H4+nEZPTj+1+w BiqjZ+R/K+tWHlrVdSszPf6Xa2r2U3rTJwZIvhLKjqsnHm1OYP2m8TiqU+bvIvlHQ/LF5dWHlAeZ ZFktZBoksk9wk8luhtoGZJBdA+lDIV/uz8Kr/ItFXjdvp2mwJeTN+Rd7Lcw+kxcXOpkyqJGnjQNJ A7yFHt4gxFQQd6Cisqy7yvoPlzzP5x0mbVfyv1HRppNPRX1aa51GNLdLW3hSOzuEZbeOQMh4EVZW UUareokarP4/yL/KxIoof0KXihdZYo5Lu8kVZElEwcB5m+Lkq1PdQFPwgDFU2tp/My+ZtRjs7Ozk 0c3AN1cS3MqXIl+p2/FUhWF04+LGSv8Ak98VSrzPrH5t21xrC6DolpeRR2du2iMzI3qXrSsJkmMl 1aH0ljUfEFUgtt6lCMVZD5UuPNk9pdt5mtra1ukupIrRLUkq9tGFRZmq8lDM6vIq1qqFVb4gcVW6 h/yg9z/2zH/6hziqeYq8IvrexSSbn+Wuo25kub55TbXeqqJplbm83+hQOGQXPMwtJxKxhXtwzERh VSh0nyRbQ6nq+p+SbrTbyGwUW7zalqcMupXEga4S1aS7FqZ52c1hYmSVAi8hCyKiqplp2nalqltq z3/kXUrLSPLUBt/LGnz6h6UvEemZorP6nF9ajPCMKp9eSM/3aMEJoqvvpdJ1DzJb2Gpfl9dpotpr lqbDVZZbyG2+sQQW8dpdvb+kihBCGiUNVeahDvISFUDq7tpv1byhF+XOqX2gWc0lzaTW8966WkUF xLayyQyLHC/qPZwPdRgTNK00gC1LKxVeteWvJPlbywbk6Dp6WAvOJuljZyrsryOGKszDl++YcuvE Kv2UQKqiZ/8AlJLH/mDvP+TttiqLSv1+bZqelFufs/ak6e/j9GKsI8+am+l6zFcQ+Vz5nkuW06yk iSMyPbQzSXhe5PGGf4E4CteI3HxDFXnsH5peZrizuX/5UpdWk8dlJcwetbzOjTpL6awFUsPULOPi XiP9binKRVWVv5luF8y22kr+WxexmmtoZNX+ryCIeusLSsi/UztCJyS0rRpRGHL1BwxVL5/N3mWP XbvSk/KSOSOC5FvbaiGb6rLG0ksYmL/UCVWsSsaBqK1a/ZDKpdqP5j+Y7fRre+h/Jud57orGLYQS ySQv6YaR5Y47It6as6habvRvs03VTF/M99fJJZ3H5bHS7Sa1ujc6pLGVFqUs/VQkNaopJc8aiSg2 3580RV6V5g1PTX0idEu4WZigVRIhJPqL0FcVTvFXYq7FXYq7FXYqlvlz/jjW/wDs/wDibYql/l7z DoEWgaZFLqdpHLHaQK6NPGGVhGoIILVBBxVMP8TeW/8Aq62f/SRF/wA1Yq7/ABN5b/6utn/0kRf8 1Yq7/E3lv/q62f8A0kRf81Yq7/E3lv8A6utn/wBJEX/NWKpBBosOuatq+o2evX1vCZXtgmnXEXoN 6llApkoUkHqpX4WrscVSbzP+QflDzLNHeapf6q2qxW0NkmrR3QS69CF5JOJb0+BLtL8TFa7AAgVq qkI/5xR/L1bO+s01LWEh1G4W6vAJrUl5Iyxi3a2NBH6klPHkeVaLRV6ZqH/KD3P/AGzH/wCoc4qn mKvCJrjTpZJfqf5lalIEmuVcPaatN6AeJBBbk280AVxFwiPq1eSbl6XpzlqKo6zuriHRvMD2HnK5 vGGmD6xcXUeqWrWUMUNJru3Fx9daae3ai+lGqyBjxnZ5dyqoQS6Jotl5i1XUvzL1m7tr/T7e8Fxc xXnCzhvpo47C4gjgEaq5lqJUjVa8qMiKCCqjYSJddHmWx89aheWF15hhtP0T9X1A2qGeOB/qLBC5 i4Mqv6hRUUl4npyfFUvEVp5v8zLZ+XvzLvYW1G1vri1sRDdEq1pqj3KSL9ZnRQIpnjioIqyQxuis qH4VXueKpbP/AMpJY/8AMHef8nbbFUVGP9yM5p1hhHKvWjS7UxVLZdS06x8xXZvbqG1EtnaiMzSL Hy4y3PLjyIrTkK4qwz8wdCfzRqlnc6X+Yp8u2cFu8FzZ2c5HrM0iyLJziurfiy8KdDtUdCcVQUPk 9uEy3P5nXEgmF6T6d5JF8dxCYrZwfrbMv1cySSFUKq7laBFjVcVReoeWrY+XdQ0bRvzCl05r+5Ew 1Ca+nvrmCFbcRiGGWe8Mifv19UsG3BK06MFUh0PyJ5i01FSb84GvQslpNylaR2L2s4lfkZdQl+Ge Mem6ABCN+PWqr0zzB5g0GfQdShh1K1lmltZ0jjSeNmZmjYBVAapJOKo/zH/xxrj/AGH/ABNcVTLF XYq7FXYq7FXYqlvlz/jjW/8As/8AibYq2fMvl0MVOq2YZSVYG4iqCDQg/F2OKtf4m8t/9XWz/wCk iL/mrFXf4m8t/wDV1s/+kiL/AJqxV3+JvLf/AFdbP/pIi/5qxV3+JvLf/V1s/wDpIi/5qxVF2d/Y 3sbSWVzFcxo3BnhdZFDUB4kqTvRgcVV8VdiqUvaS3nlM2kVPVuLAxR8thyeHiK/ScVVPr+s/9Wv/ AJLp/TFUmu/LGjXjBrvybp1yytO4Msdq5DXZLXDfEh3mLEyfzV3riqjP5Q0aW1ltV8qW9tb3KRxX cdnKloJ4YozCkE/1f0vWhEZ4elJVKbUxVUn8q6HcKUn8l6bMjRwwMskdowMVsKQRkGP7EQ+wvRe2 Kq6aLapPLMvli2Dzs0ko5Q8TI5mLycOPH1JPrUvN6cm5HkTirrDQ7DT3iew8p2No8LepC0C20RRw JV5KUQUNLmYVH87/AMxqqmn1/Wf+rX/yXT+mKrIE1K41eG7uLUW0UFvPF/eByzTPCwpxHYRHFUag /wByE5ou8MW9fi+1J1FenhiqXTWaXnmC6jmluBHFaWzRxw3E8KhnkuAx4xOgJPAbnwxVX/w/Yf79 vP8ApOvP+quKsB80ebLfy/rt21xbXz+WdMjNvqF59fkik/SMot5baOOS4voIliaCaQs0gUclChqk AqpZr350/lxoera3pd7Frn1nQ44ZJyt1Jwma4iSZIrcteLzk9NyxUgbKx6DFXoui2uiaxo9jq9lP etZ6jbxXVszXt4GMcyCRKj1tjxbFVuu6PbW2h6jcQz3iTQ200kTi9u9mWMlTvL2IxVHeY/8AjjXH +w/4muKplirsVdirsVdirsVS3y5/xxrf/Z/8TbFXeXP+ONb/AOz/AOJtiqZYq841nWPzwHmHULbS dF05dEiPOxvplWaSVUjlYx8Pr1qS0jrEqswQKWaoYDkVWaeXbzVp9Ktv03HFba4Yw99ZwkFY2ZmA 4UeUsnw/C5I5deKmqhVM8VS3Sv8Ae/Wf+YxP+oO3xVMsVdiqF0n/AI5Vl/xgi/4gMVRWKuxV2Kux V2KuxV2KuxVDoR+kJx8NfRi7Hl9qTqelPDFULB/ykl9/zB2f/J25xVLPP9n59u9ESPyPf2enays6 M81+heEwcWDpQJKa8ipG3brirz618r/85RI95JcebtIkJkQ2UXpxiMRiZGcPTT1epiDp9o9ex3xV CeW/L/8AzlPdWU13q/may0+Zmiez0+eOykkXhOBLHctbWbR8JIQzL6T8gSu4+LFWS+SvLn54Wt9p E/nDzLZanBBLcHVILVUiV4/RkS39MJaQFm9SQGQMwHwqV3ryVZ15nAPlrVgRUGzuAQf+MTYqhvMG maamkTulpCrKUKsI0BB9Rehpiqd4q7FXYq7FXYq7FUt8uf8AHGt/9n/xNsVYlr3lnyp5q8tWmgea bK/ns7W5NxLax296iu8ZkVQ0kMYYr+85fA43A3pirCrL/nHr8rLOSSWK48xCSb0RMwiuEDC3uI7l KrHaIv2ogNh0qR8XxYqjb38kfy5utUs9Q+s69D9RhtbeG0jtn+rhbOFYI3Eb2T8JCkY/eJxYHdSp piqGg/5x+/KqBv3Ta2sZjeFovqZoUkVlIBNjyX7Z+yRUVU1VmBVTLyr+VPlLyt5p07WtHudSW2sf rUklnPps8jyz3UYi5idYIzGoSvJVX4zxLH4Foq9K0RzLPqlwI5Y4p7tWi9aOSFmUW0CE8ZFRqckI 6YqmmKuxVCaUQNJsyTQCCMkn/UGKrv0npv8Ay1w/8jF/rirv0npv/LXD/wAjF/rirv0npv8Ay1w/ 8jF/rirv0npv/LXD/wAjF/rirv0npv8Ay1w/8jF/rirv0npv/LXD/wAjF/rirv0npv8Ay1w/8jF/ riqpDd2s5IhmSUjchGDU+44qsjP+5GcVH9zDtTf7UvfFVt5pOlXrrJeWUFy6jirzRJIQOtAWBxVD /wCGfLf/AFarP/pHi/5pxV3+GfLf/Vqs/wDpHi/5pxV3+GfLf/Vqs/8ApHi/5pxV3+GfLf8A1arP /pHi/wCacVbHlry6CCNKswRuCLeL/mnFWvMf/HGuP9h/xNcVTLFXYq7FXYq7FXYqlvlz/jjW/wDs /wDibYqmWKuxV2KuxV2KuxV2KuxVI9Q/5Qe5/wC2Y/8A1DnFUz/Rmm/8skP/ACLX+mKsI/M5/wAx tPj0s/l75b0zV3llcaqL0Rr6UQC8GjDT2lSfi7nFWOWeo/n5JrFlFc+S9Hg0s3Fqt/cAQO/1eRIv rDIBf/C0T+r+y1RxoGp8SqI125/O1dUk/QnlTS2sIxdQr9YW3+NknP1e4Ui7VuDwcaKQrcufIL8O KqWi3v533nl2KeXyzokOqQy6gl1DcxPGzpawolqEX1UX1Z7r1PiDekUHJXoVYqpdpeq/85HXGt29 peeT/LkOnNN6d9eRlJZLZGRWVnhF6CWXlUqpNe38xVe0fozTf+WSH/kWv9MVQRtraDzJZejEkXKz u+XBQtaS21K0xVHof9PmHJtoojxp8Iq0m4Nep77Yqr4q7FXYq7FXYq7FUt8x/wDHGuP9h/xNcVTL FXYq7FXYq7FXYqlvlz/jjW/+z/4m2KplirsVdirsVdirsVdirsVSPUP+UHuf+2Y//UOcVTzFXn/m H8kvKOv+ZrrzDfzXRvLofFEhtwiuIUhR1YwtNWP0leMNIVR/iQKWaqqSX3/OM3kO8sb+yfUNWWLU Zpp53FxC0oaeWKVv3skLu3xQLUuWL7NIXZUZVUSv/OOP5fnQbvQ55L2fT72WC4nUyQxOZraKWNJC 8EMTM3K4aQlq/EFH2AExVKtM/wCcZvLOmyiwh8wagmkfUzbx2IFr9ZkH1g3DtLctEzNGsjpwSNEC Hfcu/JVX0r/nF78v9OsJLGO/1Se3lZ2dZpbVjR43jKilutNpDuPip8NeDMrKvTvLXl+x8vaHZ6LY FjZ2MYigLhA3FelfTWNa+9MVdP8A8pJY/wDMHef8nbbFUTGw/SU61NRDCSK7btL0H0YqicVdirAP Pf50+WvJOrNYaxp2qSRRwQ3Euo2tsstohuHkSOJn9RW9VvRY8ePTfxoqxYf85X/l19TvrprDVkWy uFtvTeG1V5mJZXaEG5+JYiq+pWnHmn82KvaAQRUbg9DirsVUru0gu7aS2uFLQyji4DMpp7MpDD5g 4qx7XPLdzJLpa6bf3NqBeo196l3eP6lqscjSRL+/XizELRu2Koy60O0SezVZrwCSYq4+vXm49GRq f3vioxVEf4fsP9+3n/Sdef8AVXFUPpuh2kunWskk14zvDGzsb683JUEn+9xVz6JaDUIIhNeem0Mr Mv1683KtGAf73/KOKoj/AA/Yf79vP+k68/6q4qjbS0gtLaO2t1KwxDigLMxp7sxLH5k4qq4q7FXY q7FXYq7FXYq7FWJ+bdC1b/DcsdlrtzaW9nbTG4i9G1kNxEkDL6RZovg3oeS74qyzFXYqwD8y4vLA 8xeTLvWZb2C7jvriLSbi0a2WKKaW2YvJc+vVuCRxkgoNu+2KsA/Ki3/LZJ4YPLkOrEjS75JrPUVs JIru09eWKQRmBxberdTQ8VZDxaO13oPiZVR/LSX8rX/Myw/Qp1/9LRcoYZ71ofqql9N9UQSrFUsb qCsgaX462wVCI4+OKvoTFXYqxvzJ5b0PXte0qHV7RbuK2huLmFHLALLHNasjfCRWhHQ7Yqi4/Jvl ePXZNdTTYV1Wa1WxluADRrdXMgQx19P7Zry4198VTE6bpxrW1hNQAf3a7heg6dqYq46dp5rW1hPI hj+7Xcjoenvirf6PsOXL6tFy5c+XBa8v5unX3xVoadp4AAtYQFrxAjXblsabd8VcNN04Upaw/CCo /drsprUdOhqcVUL2LR7O2ae5t4xEOER4w+oTzcKiBEVmNXIoAMVQhv8AQCCDYTkMAGH6Outwuyg/ ue3bFW11HQ0lEq2U6yhuYkGnXQbkQV5V9GtaMRX3OKtXWuWjz2bLDeERzFnP1G82HoyLX+68WGKo y11qxuboWsYmSdkaVVmt54aohVWIMqIDQyL3xVD22rWdlYabDN6rSzWyvGkMM05KxqgYkRI9KGRe uKqcmuWh1GCQQ3nBYZVZvqN5sWaMgf3X+ScVRH+ILD/fV5/0g3n/AFSxV3+ILD/fV5/0g3n/AFSx VE2Oo2t8kjW5f9y/pyrJHJE6vxV6FJFRvsuD0xVE4q7FXYq7FXYq7FXYqlvmb/lG9V/5g7j/AJNN iqZYq7FUi8yW/m6W90iTQLiCK1guWk1eGdwnrwemwWJT6FwRWQqSQUIp1PTFWLeVNA/N63ayXzdr NpqxFtMj3VrFAkltfS+oEuY+VtEOEUKKigLUtM/IFFXFVK50P89pbhnj13S4oVWwdIKMQ8lpGz3S sy20bot5OiIx5NwjZiqkgBlXpeKuxVLZ/wDlJLH/AJg7z/k7bYqmWKuxV2KuxV2KuxVLfMH+8EX/ ADGWP/UZFiryDXdb/MuGW8i0r8zPLBmn1SSO1jvZbSH6r6U7003ikErTOYmT1CSsikUHXliqnc6z +aVpfaFF/wArK8tObRp7TW7O5uLSKW8vkmdltlKWlUYRvHG4RVZevFj1VSjy9rf5ns1yq/mx5aui yPcRRtfWk0nqylVtlljeyR4onmeON1WhAai/GQcVereRLzW7u30OTWb221S+Gn3IfWLGWKa1vKta M08LRJEoTmzJTgPs174qnVh/vfon/bMn/wCJWmKpLf8A51fljp8kEd7riQPcrG8AeG4AcSiApQ+n StLuI06gGp2VqKq9x+bPkO3jtpJb6YJeQxz2zCyvWDCaP1oo/hhNJpI/iWE/vDUUXcYq6z/Nz8u7 y9Wyg1hTdPew6YsLQ3CMbm6jaa3Hxxr8E8aFopf7t/2WOKp7pX+9+s/8xif9QdviqZYq7FXYq7FX Yq7FXYqpXdtDdWs1rMOUM6NFKoNKq4KsKj2OKoL9Cf8AL/ef8jv7MVd+hP8Al/vP+R39mKu/Qn/L /ef8jv7MVd+hP+X+8/5Hf2Yq79Cf8v8Aef8AI7+zFXfoT/l/vP8Akd/Zirv0J/y/3n/I7+zFVS10 iG3uhdGaaeZUaJGmfnxVyrMANupjX7sVR2KuxV2KuxV2KuxVLfMH+8EX/MZY/wDUZFirzX8wvLnl vyre6XrGm+QbjzdqU97czLSS7ultJpFeYSFGS8SONp3PH4AsZYsor1VY7pun+Xb63bzVq/5W39rr set3dzDbh9UuJfrjQfW1ulBgj4JNcQpFyCekp3r1GKpN5f0by1qY06z1P8ntTtrS7vrO0nW5udYu TaxTfvjPILmCNPSjlADhHI+1z41AdV7joflvTPLmo6dpWliSPTobO6W0tpJHmEMaGzjEcbSFn4/B y+JjuTiqKsP979E/7Zk//ErTFWv8B+RvVM3+HdM9UyGYyfU7fkZGdZGevCvIyRIxPWqg9hiq+68k eTLuVZbvQNOuJUt/qaSS2kDsLYKV9AFkJ9PiSOHSmKrIvIfkaK4+sxeXdMjufrAvfXWztw/1lSWW fkEr6oJqH64qi9K/3v1n/mMT/qDt8VTLFXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq 7FXYq7FXYqxG4/MDyBqWpSeXJNQZ78XhszbLHdRt9btpISUSVUX4kaVGqrdKmtFYhVU1i98naVaG a81r6sXJjtxPqs8YebgzLGvKcVYhDsN8VbtfL2qz2sMx1LiZUV+PLUTTkAaf734qq/4Y1X/q5/8A Daj/ANl+Kqlr5e1W2uhdR38LzqjRK00d5NRHKswAlvXAqY17Yqtk8t6rKlqJL+DnZxGGGWKK6gbg 3DkCYbxK19NeuKrf8Mar/wBXP/htR/7L8Vd/hjVf+rn/AMNqP/Zfiqto+lW93psFxPLdes4PqcL2 9VaqxWoBmYjp4nFU1sdOtbFJFtw/75/UlaSSSV2fiqVLyM7fZQDriqJxV2KuxV2KuxV2KuxV2Kux V2KuxV2KuxV2KuxV2KuxV2KuxV2KuxVJfOeu6joPlm+1fTdLk1q+tFVoNLh9X1JyzqvBPRiuHrRq /Yp/MVWrBVh+n63OfOZtrf8ALwQQyakxj8w+kYayyWqO97JztY3UtbyTRF+Rq6iLl8fwqsm826je R6fHw8u3OqVkYEo1mDbj0pD9Z/fTIPhpx2PL4tvDFUF5r826z5a8v6PPpHl+58xXN3JDbva2vqAx RtGSZndIpwqqQB8XEb9cVYhP+eHnKW5iTRvy71HU7ae4urZLoNcwLG1sFC+uJLOsRdiQ1dl6VLh0 RVG3f5u+dIYEki8h3tyWtVuP3Y1IBZGumtzGQ+mpJ8CKJG+D1NxxjZKyBVM9O/M3zHewW03+CtUt fX067vniukljdZrZFZLYAQvRpnZo19Qo9VqqMhViqxwfnl57NjJdj8sNYXhPFF9Wdbz1xG6sWkKJ ZODQrRRGWH85j+HkqyzyH+YHmHzLqU9rqXlG/wDL9tHaR3UV5eBwkjySOhhCyRQkMqqGNd99wNiV WS+XP+ONb/7P/ibYqmWKuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KpTf+bv KmnTm31DWrCznWQQGG4uYYnErKjCPi7A8is0Z49aMviMVSHzLq+heb9En8ueWvOdlYa1qS/6Fd2V 0s1wv1eQNK0UdvcQStxEbK1HoP2qioKqRWGkR2vnC3kv/wAyhPc32oz3UOgLc+kJovhX6nDC91K1 Ibi2H2B09SMj42OKsx8zWHmW504/UdWhsREWkuQLT1TNCInBh+OX4KsytyG+3viqb6Z/xzbT/jDH /wARGKonFXmOqflF5nu/MVhrFt561K0S0v8A67NZgzvHLE0/qPa73ICRNEscfELx+HlxqxxVJrX8 ivzAtrW+tx+amsSi6RUt5ZvrTywMI5EMiP8AXd2YyCoI406AOEdFXsFhby21jb2807XM0MSRyXLi jSMqgF2HixFcVV8VS3y5/wAca3/2f/E2xVMsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirs VdirsVdirsVY5qn5eeUNU1KfU7uyb9IXRhNxcw3Fxbu/1dJIowxhkj2Mc7o46OtA/IAUVQNv+VHl KyuIbzS/rmn6jbBVtb5bue5eMRq8afu71rqB+EU0kcfONuCMQnHFV9p+Uv5eWurx61FosA1iOU3H 6Q+ITGd5vXeYlSo9R5B8TU3X4PsfDiqYeYvJnl3WbNUutOimltnNzZ8R6ZW4EborVQpXaQ7Ntiqt ZahqMNnBE+jXnOONEaj2fVVAP/Hxiqt+lb//AKs15/wdn/2UYq79K3//AFZrz/g7P/soxV36Vv8A /qzXn/B2f/ZRirv0rf8A/VmvP+Ds/wDsoxV36Vv/APqzXn/B2f8A2UYqv0GC4g0m3juIzDMAxeJi pKlmJoShZe/Y4qj8VdirsVdiqUeY/N3l3y3DHNrd4LOKUSNGxSR+QhT1JKemrbqgLU8AT2OKpBYf nT+Wd+dSFprPqHSJo7fUR9WulMUs031eNfiiHLlL8NVqPoxVMLv8yfJdm92lxqBQ2OnjV7r9xcME sihkEtVjIPwqTxHxe2KpVa/nl+Vt27Jba36pR7KNytrdkBtSANpU+jT95Ub/ALP7VMVf/9k= uuid:1375241829E811DFB06F80E3EF6DFAFC uuid:47DABB2311D3DF119CA7F43102C57E11 uuid:a2b8dcac-7818-4055-b6a1-3efa106255e8 uuid:9E3E5C9A8C81DB118734DB58FDDE4BA7 converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator Basic RGB 6.833008 5.011339 Inches 1 False False Helvetica Helvetica Medium Type 1 001.006 False HV_____.PFB; HV_____.PFM Cyan Magenta Yellow Black Default Swatch Group 0 White RGB PROCESS 255 255 255 Black RGB PROCESS 0 0 0 K=100 GRAY PROCESS 255 endstream endobj 102 0 obj << /Annots [103 0 R 104 0 R 105 0 R 110 0 R] /Contents [111 0 R 108 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 1416 0 R /Resources 106 0 R /Rotate 0 /Type /Page >> endobj 103 0 obj << /A << /S /URI /URI (http://www.xilinx.com) >> /Border [0 0 0] /Rect [275.58 26.4 336.42 37.56] /Subtype /Link /Type /Annot >> endobj 104 0 obj << /Border [0 0 0] /Dest (G3.473143) /Rect [162 685.86 206.4 697.86] /Subtype /Link /Type /Annot >> endobj 105 0 obj << /Border [0 0 0] /Dest (G3.473157) /Rect [413.28 240.84 455.7 252.12] /Subtype /Link /Type /Annot >> endobj 106 0 obj << /ColorSpace << /Cs8 1435 0 R >> /ExtGState << /GS1 1436 0 R /GS2 803 0 R >> /Font << /F1 1437 0 R /F2 1438 0 R /F3 769 0 R /F4 772 0 R /F8 800 0 R /F9 797 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC7 792 0 R /MC8 107 0 R >> /XObject << /Iabc1651 109 0 R /Im1 813 0 R >> >> endobj 107 0 obj << /Metadata 112 0 R >> endobj 108 0 obj << /Length 18 >> stream q /Iabc1651 Do Q endstream endobj 109 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc1652 780 0 R /Gabc1653 782 0 R >> /Font << /Fabc1654 791 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U}IDURj)\Όl iT;/d"W-j}'dH5aSq ܟBd#S:q|sLѨ\u$sx2W4@wP"]@ U8Ρ\j'-:A/} endstream endobj 110 0 obj << /A << /S /URI /Type /Action /URI (http://www.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG932&Title=Kintex-7%20FPGA%20KC7\ 24%20GTX%20Transceiver%20Characterization%20Board&releaseVersion=2.2&docPage=7) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 111 0 obj << /Filter /FlateDecode /Length 7441 >> stream x][u~*MJE\qgvI۩r53eٱ} ERD://;BU.{=^h_XjZ1UMJn._о:(U5U݄Xm?\8[+JB5 ^:F;F__^|Tu"J~ywѠne. .>_qoo^\mvjCuX\.ǃN&)o՛W۫u}loj ]/tf2`Kq^m&ojvs{soN8ƣXa*P4ڪA3D ;]_vb}Vֺ/H .0a?OwRFK[B)̀z'pȚcso7xۥbsnz퍼i7R_AD7@AaisukPp-ol|JoB72(X鮫g׋~ypWCprjokP@TW`~o]am$Za{-kBi+ҵڠ''t+-{ȃ^ -H0Zs0 X-Lн }jmAFt45l{P!Ry0P[u Ј*!/}$ن7E޶#^Υ5 wX\XT/ nŕRkhŧ fFZʰP\+hN7VBJ#{% O1J&24!{7NyX-AOhXw k"UrM}ȈČ&p vp]#;6l1x[]y-9ӢB860V00LK6j˥;)` >ԁ?W@@aL骎l0l#=bFW`ev蝴FA)ol/:0T0|FIԘ(trK`^7$R &U;U5 LP .1128IV`$~`sT. *) !PN{6-LÜ LSyO`/cQ"krujRƆ\eDhji![γ )0yt 2eܱ6&8_hU˚B!z $dvмO d.P0utStwM-0T)M(Xʗ$@B)F(e[(0:eqI95?9ŨRf|⧽ӆčV v>F9cĆGBDF&ؼDq0,0[T2p2RE1H]K륓<BJVX* n{Գ5oΘrh7 J:˵YWunn7kۛTf/>xvTo͇O%< 7|/jIMDVf;w+rKGUfy .xI_5U1#Ovߧ7@gçM[}sX;ɶr' AU$B@7-кiId!0,aR~ӿ_\E->|b;i/6GO ]/i.o6U팆])ihA!Pl\~tso Z_W6U~tW?`1bH4Ozcx~X|[>u풡MK!նp5% K]T?|`Z]$z/LW݆qv*-q C)!l$W2"l4+KNjn@<.ğqXO`ARhnP+EVUޕR'F!_ { - XCtA=R `(O)\ms/hv~ ,u Ѧ| T@1iftX=WyZT=%kA]yEMKS;X׃_K*i\MقSJ@ʿR+9H҆]lAr;a^C1޲߫j.7)<haB;0 3C+c<{b\[^:6l8D(zha[%fu▣H -sWR4hF) `dK +S1y2[Fc+J8B|L4TvXU eŴ K Ǩ7g ),paEsʭ謍f k\*oύjAuSѧ<1J <~BtV'<悠 WF v8r5{=:wq⥘wukvr'5X>|Oyҟԗ>B#lr34aE(`'50X}A}@#Y:0 pjZ/(Ԃ.`XV_2̪1`k+&  nJNTờ2mNxݾɵzrGiJ5 `aQ= c}aHEf0 hA&z#1>B6pBfP]0=WaY.3H^r.rz X0יWC[X PInw/Ng: ~LbnC*K^I݀ɱhjDO;QHX{!G幤Z'i^y_(m 8GIJ}JSܧx6_Gq"L0#g<#Ӎy>7_ޫI`%zdݗD+(KI9+9$ToЖ7IG<mKIyPǟ%3pv:L yG˘ Bc&~㴱r'$0, O57).[ R~òlzmz `c9 F$<;/x ͋f6LR<ˤ +Lzu,xq 7rTSPBniFx9[L2(ĶOo.:wK[G.1.]50bJk׮Oxsq8ϵvamt堍ګJ<$WuO*-3tYAぷs qy;F>20: RLq}jZ60$7RJj?2yՍ/I.e/h8[`K埡g gԅPZ+@ _f:nbLY0tTAj!=Hy9}rX8@)3)w|ēw~1֮9LGr){B]avm'A:n֐;>ۂ!>gSOOvWIeS3M`J 3+ˢ}=9r8rBRSԩ? endstream endobj 112 0 obj << /Length 49073 /Subtype /XML /Type /Metadata >> stream application/postscript Basic RGB Adobe Illustrator CS5 2012-06-23T14:04:39-07:00 2012-06-23T14:04:39-07:00 2012-06-23T14:04:39-07:00 256 180 JPEG /9j/4AAQSkZJRgABAgEASABIAAD/7QAsUGhvdG9zaG9wIDMuMAA4QklNA+0AAAAAABAASAAAAAEA AQBIAAAAAQAB/+4ADkFkb2JlAGTAAAAAAf/bAIQABgQEBAUEBgUFBgkGBQYJCwgGBggLDAoKCwoK DBAMDAwMDAwQDA4PEA8ODBMTFBQTExwbGxscHx8fHx8fHx8fHwEHBwcNDA0YEBAYGhURFRofHx8f Hx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8f/8AAEQgAtAEAAwER AAIRAQMRAf/EAaIAAAAHAQEBAQEAAAAAAAAAAAQFAwIGAQAHCAkKCwEAAgIDAQEBAQEAAAAAAAAA AQACAwQFBgcICQoLEAACAQMDAgQCBgcDBAIGAnMBAgMRBAAFIRIxQVEGE2EicYEUMpGhBxWxQiPB UtHhMxZi8CRygvElQzRTkqKyY3PCNUQnk6OzNhdUZHTD0uIIJoMJChgZhJRFRqS0VtNVKBry4/PE 1OT0ZXWFlaW1xdXl9WZ2hpamtsbW5vY3R1dnd4eXp7fH1+f3OEhYaHiImKi4yNjo+Ck5SVlpeYmZ qbnJ2en5KjpKWmp6ipqqusra6voRAAICAQIDBQUEBQYECAMDbQEAAhEDBCESMUEFURNhIgZxgZEy obHwFMHR4SNCFVJicvEzJDRDghaSUyWiY7LCB3PSNeJEgxdUkwgJChgZJjZFGidkdFU38qOzwygp 0+PzhJSktMTU5PRldYWVpbXF1eX1RlZmdoaWprbG1ub2R1dnd4eXp7fH1+f3OEhYaHiImKi4yNjo +DlJWWl5iZmpucnZ6fkqOkpaanqKmqq6ytrq+v/aAAwDAQACEQMRAD8A9SG7tROLczRic9IuQ59K /ZrXFVXFWL6pp+sXmo6fcWs1skFreTNrcdyAXaz4OIliJjcoR8D1Vk8ancYqyDTnd7ONnJYHl6bn q0YYiNj7slCcVRGKuxV2KuxV2KuxV2KuxV2KuxVIvOEOr3Gkz2+lyxQXs0EyWc1wQIVu2AEBlrHO Coqxo0bAkAeGKobydY+YLCNrXWbmC6uw9w8zWqqkSo0im2UqscID+kTX4a+JagOKsmxV2KuxV2Ku xV2KuxV2KsK886X5x1MPB5cvLOzvkMpWS/VZFERtSsRSNoZxtcuC5FDtvyFFxVlGk+p9VIZg8Yak LjoVCipX/J58uP8Ak07YqjcVYJdWmt3eq6TqVlqNmmjKqDV4uKNeSzGKfkIZPTduZkaEoFdfsmlQ aYAbZSiYmiKLJtSF09nDHM0cbyRsHdxyiFyU/dhwdjHyqaePHCxSzyZZ+Y7GNrXXJ7We9D3LStYq FiEZkU2ocCOECT0ia/D99K4qyjFXYqxxZJo3SK4njNotkUudOApdPeclYyA05HYN8QPX4t+oUgW8 /wDzv17VtMvvK1vHrF1p0dzHdG8ksZTEZTH9X8NtgzEbZGXJrkAZiJNc/J5X5d8x+YNc872mmzeZ NTl0+SSVY5frH+kr6UBm7l+J5ADp4+GGMdrLiA3k4RI1b1g2F+8cUQ8xa5FDGlBwnCyF+ZJZpAgF KMeVVJ2FCN61iJEr6dztsnDLDGFVOJ+sHcg9Jd9bVyrzSTzWmsabpEl5ZeataSaJ419O6u6hw7Ba JxRTUA1yZIp12bEYRsSLymf8zvzDe5uY7LzFdCO2jR29e/dXJ9Pk5CAcytVNKKffxx2/Fs8GIzhd lEaL+Y/5gand2MEetatL9YekqwTyyvxDstUC13ohPTJnH6SRzdfky5Bk4ASXohTzquqJp7a5r4kY Rsx9c8AHWu8vH0x3G7dqZi/vO77f2t/Dmut0u1e5/MaKze40zXNWneEH1Ue5NQQy/s/AxovKtOnf J4JGRIkPva/3xBq9kvudf/MawigbUNU1aGSQuHVr0Kq8CteRJbhQNyYsNhl5hX4LKIymuaYaj5l8 z2cEd1+ndQuLcj94sF3crKoc8EkMUyJJx59DxoenUECsSiTQ5uRmxZMYs8vekVx5088DW4NLh13U 1kkZVcSXUgI50VNyoP2zv8PTEkCJkXFhOcsggDzRPnjzJ558s6vplg/mHU1e7at1FJduzonNVH2V UKx+I/tbUwYpRmCdxTk6mEscoxu+J3njzL588r6fYSS69qa3l1QvFJeSEFCtfUUemhCs32amuDBM ZL2IXWY54QPVd+aLu9c83W+m6Lc33mLULOLWniKvd37RxfVphyLk+kQAE6sTSvamCGQGZjR2v7Pi 2zwSjCM+LnXXv+CFufNeu/WU0/SvNzRWtpcG3mu7e8eZHSQyTCRfSEfqFUKgkKKkEdssjA2b5dP0 pzmHhw4ZnxAZCQ8tjEj5kH3IifzPqcUU4Xz3qM9zEvONI5JeMhJakVSwo4VRVvsgsD9kVyfAHFP9 Yo2XXrlrwBPP9xZ2y2ySSi61SNv30k0yems6MIzxSEH7O9ajY5j6jJ4YupS9zk6fAckq46270N5b 8139/wCYp9OvfOV6ljDbtKl9HqPFHdZAgozArRgeQFelNzk79IlyvvYQxE5DDiO3cfP3Nza55wOj azqltruqS2enzzwQXn19/SJjqU5UU8i606MP6VyzCJAIO9fazGlkYykJfTfXu+CX+S/NHn3X9Pu7 p9Y1F/qgeWUpfzRBYI1q8hBSYUU9Ty+jJ58gx9CWvSYJ5gTxV8f2IPyl5x/MHzD5im0m31u/kIWQ wot9OjHiwUfEeQOxr9kfR0yWaQgAd9y16bFPLMx4iKH46K915181wS3UR1/UlktXdJE+vyNQqrGg IpWlBv3yMZ3VdWrJKcCQTyJ+xlflr8wtTi8n39pqF5rMut3IuJLOYx3MoVJoDDbfvwGEfGcVJ7dc slQc3SiU41zkeXex+68xeYS9tpt7qt9NNZhWvZ/rr19UyBJeVQSERqqlT2LftYCDzDTmyEHg39P3 9f1fC+qvB5k8wvfzW/6a1iaVLuBIoUvZJIWhc/EGILMSw6eO/fIZSQNh0c3s8RlkBlKqkOfLp80F a+Y/NMF/psNzrNy7SNDyUXJApJUsKFQdzglGnGx63MZgGX1EJZqPnDzxpFyXtNVuZ4Zo5LK2iW7n douQ+DgqMvErT4R026ZdjApp1GXJ4krN2ShYfOfn5AY9Q13VUkIq8TXdwrBKgGqFuXSvbBLnQcfx Z9UyuvNXnCDQ7SbTNW1BXib0HVbi6HqGQTSFzGoRiVTj8XUU3245GIPEQeTs5ES08DEyOUSII6cP MH7TfwZR+X3m7V7/AM4+WlbW9QuhcyOt5bNdzS27n0magjc7Ku/2/i2++IJsgjqtRqJB36h9HZay Qp1GAEni/oAkNc8f3YI679aD+anH3xV4n/zkGPLl1rGkafc36RXlrbXdzHbQT+ncpz9MUO0gRJaU XkgqRsw3BplKUTYrhc3DpcWpHDLjGa/SRuK63W99RXc8UstPvptNI0621Np43LJqFtDLLwkAr8Dx GgqaciVr4ZDHk4rJDPtrsEaDKIxkZdfP7O9J21zz7DePaR65qcpLotuJ7m6ib978QDD1Ept4/qy+ Mb3dJk1JjLhTWO687QXSx6pfHVWu1Y2sBu57tUkU0rQStxb55Lw7a82SR2YrrGheYI9VunLQlYgU lVQHcFPhK1368enLCQa2bYZDCNHmE5sdZu9Fj0q/0VZLfVLFZCbmZVCyO5YgBfiU1DMvTGImAeIu AMv70TR0H5q/mzL9au3ukWT04VMTRwVKpL6ZVI2785G/Z379MjTtxnBKN1LzN+ZdvpVqbaUPPcCY XDMj8g/OnIEio+E7feKZj+JwndhHPV31SK5/NHzrrlyLvUUhAtl5MEh4JIW4oOSD4TsKHM7JkEqF AV3ftJcbHcLIlKRNc62+QCZal+Y3mbXtZg+v2SaW0aokIWN40CoOXFVfl8LV2U7b+5rjRhRs9HJ1 eoPBwgVatq91qk2uGWBz+kGWIwuef2/WSn+V92SFcG/K3VwMvEBHPb70q1a01mG15+YJNRk16aaM 6a8rSSRmEqfUZJJOTMwk4j4X/sGHh/grh8nP1ZJozvj6IXzPaedYpo5Nfe6a3YBbUyvLLEvEfYjZ 2kUU/lVtvbJafw9+Cvg1a45SB4gI96ldp5wC2M+ozXf6FjEC2pnaWS0jDKGQqvxKKpU8V365GAx8 Z4a4t/f5s8mTKMceIHg293knGg/4ejluLia4mlu/Vf0LyGX0E4OoHxxyRO9dzy+PfpXvl5sUGMI4 5mUyd7PVkMWoWJmktlmvJpIzPzb64DHSMNylRViDcqIP2mr0oa5GzbOUI8O0rPvUI9Mtr7UL1tTs pb210uwjltn1IOSZRcRj0zy4uU4s68aCgPY0xk24IA0etN3U+m3MAsbbR9Ps1mojS2q3Ebqv+Tyl KdQB8SnbAG2eCNEjmkt7o/mi0KkSXJ0Rwt1fW6yOsLIgfmCqOUZyik169OmVZPDMhdcXS/sasYyx iavg6/pVrD9JX1hcfoH6zaCSL0v9FkeKPbgvG4VHKtzpvyrUbnHNwbeJXxZ4JHfwgfglEcN5cRQx aAuoR63Cj/pO4ikYMW5AFlMfxheTAGuHLw1+8rh6W1Ypb/uuLj/i/HvfQP5U6PLL+XOmpo11p0Wt wXJPmr9KossrRiVeSkursv8Ao/2a7cj161MKrbk2Y97vnZv5sL846xpek+YtXtLK3We1R52g5vyC QSVjARWZPgHP4U5GvhStWcBMd4LLFqpaTNxC4zjuPLbbY++x83lusajdG+W9+tNJJGqyCWrA1E7N V1br/TbJT2IDVppGeOcpGzvuzi11W0TWdMjuHRLa8gt5bm/KqGjl9PnT1QvBKEDbBKyGWEwgRK/U KPy3ULnz8brW5LF2tEW2cmylkg+sFvTkpEdtt96bY+GAg6mwZCMfq7u7lSL/ACY1fQLH8wtct9a1 BdNnv7a5ttM1V6KLa6kcqsiliBG3FjTf54xcjKRYJ6s5/OJ9Jt00u3sdUfVtY9a6nn1BWNwRDdSA xxDk0q8EGwUGi0JAFaYQHE1HTveU6v5mbTWs47i8nkjMc5cR2cMvFJl4EEtQ7lTU9uoqdsZR3tt0 2WgQSyD8jNVluvO/lmAvI0a3ErhXiRFH7iWlGHxE79DglyaYyvI+qz5n0oXqWbSKs8kZnjjMkIka FaEyiL1PV4DkKnhthcpBQ6HJbat+kljuZ7tLBNMjjaSL6lwRi/qCMkOGcn4z4ADsDikPDv8AnICP T7nXdKm0M2A1Kxt7iw1S9vKIzllhMKM8fxNJH6T/AAsQVrlEpg2A2mc9POJlE/zhY2I7/MF48n6V 065e2vNO0m9vwpaQpLdeqFZaCqpND2I3pkcYiGztXtyetkJTjEV3Cv039qKa2vrKWC81DTm9G8eI wMY2MRSCUI3H1uYeoUV+Iih98yuUdnns2xM5Xw/jvTXSYtO1bzDY22j2Eb3k0zM0cywGCqRNLxK7 rT92/XalPHKocXVsx6PFOfEDlHWpUB8qVtf0QWWoTaTf2lnb3VnErwxW0MPJ2YR8g7RgBvgbnX59 akh8T1GO+1fajtPQiGKOQSNk1035A8gOm6TTW8tzpt0i2McYLxerIIG5xemGAUO/J0DDttWnhlkZ 0XWZgI5uDGeKG1nnXPqu0bUbaS3uTJZxGeSb/RZEVBJGxCk8XNJAoHI7H7XzyOYEEDo5mHgyA8RI quXLc7WebPtcEK+bj5d0i/t7mwFktyL+X05ipRh6m8Qq1VthTiK1Y12cnISxxHR2stLGuX4/A/Fs P812ekaX50uwbZWsYIrdbiWyhSMR1t1WR4o+CIGDEuCy9Vr75bzjzcWNY8tHekZ5o87+V9cHo2Dv qaTON5gTcxiPizcQXcoGp0rT7XTrlGOPqB5U7HWZI+Gb3tJ9R1dbDXW1KNFtxbJHKkbK7r8MikKy lizA9DvlhgJQo9S6fFkMcokOiXedPzEuNa1azu9bjazvLZuTwJazWwEYZSBHGzSU6Me2+RwwjEEc 79zn6s5JzieGuH8d6h5x/NVvNcFqL4RQPagCOO1t5IYuAXiWI5OC1R14jHT4xjv9nRdbOeYDbl+O 9U1L8111LStI0m59MafpTRLalLZkkZISF/eOGPM8Bv8ACN8EMQjMys9e7qbZZckp4ow4eVfZt3pv a/mL5b1B7yP9Bm5eSaa7UW1nI5iRmX93RHVhHGD/ADcRX7O+1/GA0jHI7cHn+N2MWfm/QrfzPb3x WRtOinjE1u0bB3hTisiOqFK8wDVeXfr3yUsm2zTDDITBI2+CJh8/W6avqslon+4zUBNFHAICrrA0 wljVWBqG/dhSzM1N/tZEzFebdHxIzJA2XL53tFZJIrWVVDAqz8mHEGv2Qi129xkeINxzTIrh+1HX H5r3r6RqGhJAh0y7l/vjbEXKoQQF9RSB9mldjlGTHGUgbO1d3Rsx55iEokfVf27d6F8r/mHceWtO vLe0tPUmv1kgu3mhaQJBJGBWLdSsoPfwyWeEcn4DXopywgiuZQ3ln8wpPLuvXGrWFvzlcSC3jnhM qgu4Yclqtfs065LMI5AB3MNLxYshlWxTO08w6jqDXeoPbSia4ZriR1R0QEruachQAsMMMVU4upMj ImudlfdeZtPu5ILTU7SSaQqAl3bI31jkZAzKxLeh6VC7V4epzoSTvlkYCGw5OTl1B1ERLKTKcRVn nQ5e/wCO6D1nyxqU8YuLCCUWirzm9ZAJfT5GgABO3HflQbn5YZc2vBKUYkAbFFahbfoXS7d5rBob pow6GXkgdGbiQQx67/s9um+JumOKFE2OhTCw8gXdxc2d/fQNFaOUjdFkjiuTwWsixRTbs9PiHbfI ZJ1E19XRngwSJ9X0dUt1fQdEt9fdoxe/VKTuwmMAYSkt6aq0XqhgCvx18DuMp0sshBM6+Dn62OPa MSU/u/Nvq+W7WOL4LiwMcFsjcnZI19Rualjxp9hQaVFPlloB4j3FllxRlggI7ZImV9xjtw13V6vs Y895A4uNTvbiWSW5DxySMsbGhqNwT14rv0plrro4jZF7sx/KnURcfmRoUX1WRNKhQGK4kcMZmmhM PBnWvJ3dhwHw8F5VqBUU5ZiNX1Ln6TSSzWYD6BxS8ojmS+l7zyLpV9rFtrF3p8Ta3bRPDFqqzSqQ ssXoyH0l4ozFOzCnvk0FuPXNSl8xW+nra3Qil046lLq7B1somLqI7XeP0nLAszfvOYA8Dih4Z+b1 9dP511GOC3jC+pZzpG1qJnSSS0VixKvCV/yixygRPH6ebVmz5JkQJsR5X0vu/U8v8ySWq6lOghMe sJCjfpOKIwJ9nYLKzMUqnw/a9vbIRcOKnfef9c1uSwsrqzlt7NZDIJow/pt0BMY7jYBgMzskokAA UuoxzljkCb4uW1fp/Ultze3OkXEMtnJPBqHP7F3BcLxUrswCIjdJNqfxykWx0+bU8YOQDr3eXmj/ AC5591bT9RuL68eO6ku4jb3EQt53k4shAIkmSahAJIFDWmRMDzcrNrcgoCNjfqBW3vTzWvP8Ou21 xBa6cqziVGEhth678+ZPORbaLc8v5t6DY9jCFy3cDMeOPEMQEgd5bHnfcxe9hv7KGQQSIkCu5WRk jeWjcU2JFRsB0OWGpe9pxwjyKVeXr+5t+ctlcxswFBJc2sMrUNNlaRZf5fH9ZxGMF2Gpy8BG9sps JPr/ANcdpJOckJVZXtYrYjhC45CONuIo3SnXr1yuWwDXkMfEPCbFD7kB5fSG39Z4ObsEKvJAWYl/ SBq9SNq9MEBI3fe7DtA4xGHB/MF1/O6ql6xvXlPpgGZAqR0pSMMGDP1pypsP7K3RFD3Oj4t7Rtpo 9tPqLi85XMLwPH+8kEX+7QeNQsg360p13yMSK7nKhmlI7lMG8t+XlYD6qjJw9MD64v2Kkhd4PDph vzbeL8X+xd/hjy6PSUWyenBvExu6gV+I/wC6K71r9/0t+a8X4v8AYhbzTYbbUkWxBt4FgLO0Tib4 2bkwD8I/2uJ49uuJIpqnmlE7FLp9EtEQrbRj1w9eboCzfHQkty2OR4u9hizESsoiLSNOgggiEQkK heTNEoNSznsxyMtywOQ96s1hYeh/vOv2R/usf76P+Vka3R4hZbof5eeUpNO0ifXZp4J/MTTrpKWd tHMB6MiQsZqyBh8TE/ZpQGprQGXC52HEZRsljXmDypFonmG/0meOOWSznaJpEj+FgJBQip7jAYuN ORjIhBRabZm8t19BQDIgPwU/3YffDEbsRkNpzrulRyG1tbO3uJbmcSR2sNmqtzkZYQFdVFaH2y8N 2XfvT/RvJ3nuFINN1nS4tK0/145RJPGiSyMwEB4yO9AESrNRS3tvlWaRG43P43c/s7TiZMcp8OI3 urJPSI8z3nYMb1TXr6LU7iKyRJjdW5gS3IllVl5KlYuLg8lVTxahHxGo+LI5MXGRuRRvb7j5FpEz GJO3d5pncre+ejaabFpcEevWiGK001kZBccSKlvUZTzWjFn5DiF7k7GpA0PpP2N0DiyYyZEjNEio 1tKPXfoR133vZbfeT/NVgthBrVxpi2L3axL9TmjcQyTReoq/WV9SRDxcGjkkpQ75CZEQZEXQTKAn UQOEyKXedbS00qOCyimtZIH53MksTI7iQ1G7RxxfIV9/op0eoGWyLAZazAcRiJVz6MNNyhAjVjyp Qjr1HzzMbOMd6X6vK76ZEYgkgBKsDWtKuajjt377/RhvZxYb5JPTPyH1fVovzM8v6aYkgs7phJJw BUt6FrIi8t+R515uHrRvs8emVybY5KHCOvPzfW48w2hufR5xcvQ+t+h6yfWRbVp65g+16de9a+1d sklFvplo5bkH4tXlGJJBGa9fgDcd/likF4L+cegX9xrdzdC5FndJLxa4sqCSeExRiH1xOkq8owpF U4jf7sYg2bcvNgwTjGUOLir1X3+Xl9r578xDzR+mZ7GW5ubixANZpLUOPhiEgBZIwu522G3fJQA7 nBnp4x5BO7P8w9duzHbXGnx+nayc4I6M8aFUagWpanb7I7Dwy6mZyCuTr3XNU836dK17ZyQXUSIt pc0ajM7cePJjVq7L2oTkotOonuCEF5c8s6zHotzrNxBLPFaFE5O3EI8z+mAyuGJ5FqCnfrie7vcP OJZBxdI/pTJvL+rC9ZkFzDAylJ4o3pHJKqcYgyRH4mVqkVH8cMdubVAZIgxH0y5/Dkt1eSyewRbV lmZ2cS/uiyqqnmT6gY8q8h+wKAe28uEMZDb0qDeUntrqTjHwtI15XJUxgLR9wpAp4fjjA0GM8RlL fki57W80lo3uwJLe6qiRCsMlPdyiilH+Kh/DBwgpEDDcprLo+jWkCWr2RmluPUltm9ciJfRXlICO Ib+7G2x38B1jGwS52eUTEA8xfyChHoMo0c6qojWJh6jry5v8YUj4nXfrXrglduAcRriUmaHmnT7Z 7Rf78GVb0wWyNFxj6fsV2j8H98ItVwkiMNNqhfCP/fPzyO9/jvZNxSQ8X6dH7R/5PvhNoa5xfWSd vteEf+/R7470jq1K8dUpT9ntH/M3vhCltpY/QGwrxH7Mf++j74N7T0Zp5M/N3zL5a0iTS7WSKSzH M24miWQwlgCfT4yxftMTQ1Fclbfi1JiKSe8uLS5vTd6jxutSv/Vu52nb0pDS4dXUqof4v3Y47caE CuXaXSzzT4Qe7v61+v5ORq54Y4xklGQJid7ABIvkCD0jyveXKgs02CwnvbdG08wBp1jjuqt6dQHl +Gqip+ED5nuN8dRp54ZmJIsHzRpfBygSEJ0Yn+Ic6JB+kbbbju5Fk3ljzLpvlvzVYaxfwyT21sk3 qmPixQNHEplpySvFWJoAx8PHAWUJASs936lfzl5n8jaX5bew8p3eo6kNSvILq8v54JHWFYLYW4VG eKLkxiVftVFOp3yJHRuOUVYYppLWk/luV5ahlE62/NKu5NuUVlRK8KvIgBJAByGSYEgOpbtJppyx Ty16IEXfnyHmT+0tflf58TSfM9nqEVuL1LJWS85SJFJS4cx1jaUrzerrtXse2+MZ7OKMwlO4jZl3 mjzV5VvoG0XyLpYsfQu4r29u7pv3K3Fmn1eFY/3kkRNFHRhyUct+uQymJiQeR2cmBkZDgFkb/Jgm qS+fLy5lu9VFrdBbR4/TigeQ+iZU5lOKN8RqtCOzD+YZi4NJjgPQZDe+fPy9zZqdTkmfXEciEo0r zFpenarLcarpkd568YS0tSGhWP4/2apvQgr0qOh3rl2qjOcagTE206WcRLinHijSTarqelXR1JpL K3jhuJT9RAjEqRAFtllK77Hqv6tssxSqIEtyHFyZY8cjDYFnX5Jyw61+ZmlzWdvLJb2ocXRkcsiq 8EkaqzMq7sTQdyB02yRk2YImU76PqRvKcEmrHVJoVfUXtPqEl+J5xWE1qfqv9x6m9PU+170+HLHJ LIsVeNfnc+t2Wsaaui6bDqc2pRXE1ytxOtssSWxt4lIdtjyacCnXISDbCR5PAPMdtrq6+jappFja vdrOKJwuTT0Vh+GVeXxAt3UUPjhjFpz5CNiHao9r5TFpbaNcfWbaZHFyLgBgsnI1A9IRMCK7gnDV scUR32ivK0l1q7XIVPR+oGN7RbQcFEhYScm9QyfZ9M7AgUqcBlwrmxgonTLP6wL3TdUuyIGRm4pM 8SSvRXjZo1JiqGp0G/uckJAjiDjShLiMZn7UBa/mPrctukH7v1HeOJ35XJahNCd5itaD+XAYucMY pOr3QY9PjhjsUU2/Ck6S/FIEdwX41KFW+Hw+/BHKCaPN15xERuPLr8Vuqau3lWC2GmUe01DnI8Fy XdUYIj8o/TaNvj9ShqThO5cnBijS3SEh8zRXF1eRgSW01IYoSVHBSrOVMrScWPhzAwGfCjPgiaVN RS6jGlJdlROkeohghbiAIGCkc6noPH5ZKExLcOLliQQDzHF9yurJ/gMVYbQRnqF24x7H6Thyc2H+ T+DH4I4p7y3gR15SzCNSXQirSgDoPfKQ44FkB6dqn5a+T3h1nS9Kl1B9a8uW8099fzC2+oyNaxxy PEOJMiMwuPhqP2TXsTPhc+ekjw7c3loeAQkFlrx/nj/318shvbgL4YuUEs6qTChYNKCnEEhaAmlM d1A6rEaJrripDFmooDR71lHTbHojquuPTilVJPgdSoZWKAg8m67Yi0nZt0T6mJqfu9k9SsfHl6RN K064i7QRs1ZyW3MAmJqlhSR4wu6qByO22E2iJDvMMAu9Zsobq2WS0+pSSM1u0j8il9LQh2LihX4e hFclEkXW39gd5IjwsZPqocu71S2/Hen/AJY0cWV7G31WS1kJqtpK9sxjjmkkdaxqquGjRCpOzA7U pglZPesOEfVcb4vLnGVee/JKfOjQcLZZw7sRKISrhQr8Ih8VRQge1MvDq89dfxyZR+TcFxfNrlnb 3thF5jfT4h5clvDG8KXPxIpZWSUFlXtwbbehwTbtGee/cyH8wbeWztbGw1vU4P8AEiw3s+pw6Zx+ q1eYG2DBIYPiWP4CzRqTTfxyDk5T0t89HR7yTSZdZgRntLZ+F08YakchcBObUovPlsMqELDgiHpt MUvb7R42MOoMn16QfXGiklWRGRlYgOnBTy5ncAjY9cBFN8ZSh16tT63c3M0kt1Bc3UB5yJIYWZwx 40fnIFY/CvUnCR3OWckQdzYatb/y25tJL2ynaWI0oXkCitxI5qvrKq/u2FaUFd/cwqXRY5sQ70Vp XkkX62f1TUV5L6T36zLGYrdpOqMiySSVQjfmiila0pjOYADDTdnSzk8BFRiZEkgAAfp6AdSXsv5e ahpE/m7yhbWNjNptpHd3klvG0JRZpgkyM7uNi3Dlt+x9kZKLZxDYAUN30tlyXYq8z/NC3vLjzZoA tvq8kENnqH6Rilasgike1MRjT+YzRLuw40Dd6ZXIi660348U646PBdX0vu97wD85YhA9gqIY6euG 4kDq0Z/ZFO+WYhs4HaJ9QYHr+tWksNvFcRTPcWsUcI5NX4gp5jY1NaCn07YTXNrwZDvX2onTryWz hd43a1mnCK0KymKUV5A8w7pReB3rsajBSyzXOpEV5JldX2nmDTZLORY5BRrhTJAGMgjSpJ5GlJA3 EvT2OSF9WjLKO3AkNneWUk831W1JiiZWWWRpVCUegDsSBWn9mRpy8uecY36ftZTYanpFxetDqzST RRxCK2nW4iAWSHi1QzSqVjPxhMQCGgTxEDi5/jzY/qWs27LbLqAM8XJvq6W7liCxCty+JmBIVacu vbDIJ0eSVHknelpfy2F5+jYHhtpCyzerI8dKgc6qz1NKdwdxkRUatOWeTIJEcht5Mwh0yC+8xeW9 MLfVba8murdmUFRGs0fEsAyoP2iegyQKyj6ojp6vuZ75/wDIXl+28ieZYbHTbvSl8tmFLW6uJJGj 1CP04XLANRacjw+A/aG/gEHdty4oiBro+ebWOSRgyRRpQ/BITKASD+yQfHJHzLq4ks/l/OH8w9Xt bby9q17y0+6eK3llSMJJJGzBfjlALHbqKVPfK5gEbF2Gm1U/EjYEtxt3pbqCWMnmK9jGgw3aW0kk fqNNdIZihPxuRIo26txpsMplmjGrk5XhGcpiOKNcR3uW3zlX3oX17CHQ5YG0i3W9e6CLb+pOXZAo PJR63PjyHULT3wiYIsS279mEjwmjijxfzfX8/qv9C9Le0S4tJZdAt4IpWUSv6tyVjXnQyqGmFAvE 15tSta0yMc8CaEvx5bMpYJQAJxRoHc+r7fVt9jZFneajcGHQoLuKJ5eMqy3IE3EluTMJuHEAb8Kf D08clLMImjL8fJEMZycRjijR5H1bdNvV+tTlksI9CaBtHthfNeAJbepcc+AjPxqPW5lSdqlae/XD HICLEtu/ZhPYiJxR4v5vr38/qv5bKN/BDawpcny3brE8VGBlu2FQCDKtJgQAV/aPWvywRzwkaEk5 dPOEbOKO3P6vfv6th9vna/X7/SotX+rtam9ks4zCJLi4mUEFzKAv74Ky1c7nfLIxNXfNnqNVAS4D ChAy2sj+IkX5xum183TRoogsbeN41jEUhuzIV9IMEp6kjbDmdumJh+Ka49oRF+neiAeLlYI+y0tb U9UugsMtws7SVQpzjqeYC8QEkWteIA75bbgcV9VCyV7S5mNxZMnobrMnEOnABnanKpPYdx1BGC22 VRiCBuG5Lq5e9sTHPGyvEwjQhuRtwZf7w8vtilOIHHpvkSA24zI4r2/Su0nWdWTyde+XbKGJo9cl VWdq+oJIZ04qhD/tBjyqhyuJ2YwJ4KCWWXlm7j1PjqcttBHakeoLqQp6nKlAFILVJbjXjQEe1MgQ eqcOHikBKqdLpixaW91ZXVbtJZgbb0y/IFk4pJIDFVeAY8qEHYU3JEhs5UYxiDQv4bpm/mzyunl1 rR7C8XU/qxtTOV/ciY26xkhvW6cxUDj07dsr9XeiU8XCRR4q+1JPLF/PDezL6s10zgTfVEk4rPJT fly5FnCu1KKWO475Mc3DxSIL3j8sTqknmvynJeLb/V1mvEj+NxKJuU3OiU4tsG37ip67Yxc8WSL8 30vlyUhPmeI6kmnxvG19LZtqEOnhZTK1qrBPU9QD0wxZgBH198Uh45/zkj5k1zyvqHlzUfLc8MN7 qkV3He3jxpIskcRgaLlyVxRObcfCvuchwgG+rLLqp8IhfoF0OnveKaxq+q+ZxJd69qJV7VpBaJ9X VEJPEALJCqRHnw69NsmJFwdR6ufRjur6c9rILm8PrSxxs8ayhaA8lUcgeYI+LocQGvAKlRT0WGnm 3oscUvqNKFKotQsTOqHlG1d+P8or74ylRaJJZFYxPt6INadn7qciZFqCLs/JkkWi3GtxW9bCNuEk ojuCvqGi8OYT068yB9rqclG22YlKPF0Vbaz8x3FjBpf6IWaJOZjCRv8AWVZzWsh5Dj247UIpWuTs Xdp4JmAjw96YeQPLGl+Z/Oul6PfOlrZNcL9ZhLFJmPM/u4+VQWPEA+2RMepXTbyrk9a/Mvyf5aHl O413/DdxomoaPcx2lkZnklaeJyCSgavuNtuvbfHm5ueIECwe181eVbNIzCqvLZLcj07QqJoPWDJy hMjJHyXcmldjXYrlMhMnbk5WOWnhi9UT4hPpINCIrfbqT8OGrFoLzX+YGv65YnRP0nc32kx7NLO7 P6nAAoarszD9vkOvTLya97psucyHCDYUNP0NZLYN6hjVTSKNCwCnmvWo7n9nr3yq7WOPZrVtPS3N tCGd+M0MgLczT7Y2JAG9N9vpwDZtgAJR36hlmgWyxXd3ec+DfWZ0kVTQhH5K4ruVqpK1zn80yMpP m9ljiPDAG/45oG80uzTXbNNg5jko5G1OS71PhkYzPhkdLH6USiPFia34ZffFkeoaWstikUziQW0b qiGpohLMF406cuRr3rkeM0N+TZwjfbmlXlKOG206GaFyqtFxYbKWjkjowYivHktQTk82QjLI+Zat PAeDEf0R9yBnsbP/ABBagn4zFLWQgUoGSpqfntiJHwiP6Q+4rKI8aJrfhl98U21myW6skSaQuttE 0aA9ojVgoFKAVLfOuVjIdt+XJtlAEEVsXnWsxRza3ekpVVkCor8mKrRPh2HvnTA1EdNniddfjzs3 6jv8UE1nEJFAjTcj9lv5iP5cPGXEpE+YIrXS7wcRGTPGCIzAFVObMQVYjqOFPp2yYls52HAJSIPc ttJUubZtQdEbnJNG0IjooAUjZuLjpvvglLdrzR4SUsubO3n1iIxiC0eFhLyfbkqtx9NeKD4j1A+e 9cldi2OKQNx9yCtdRja2isCnOQPNLwclUdOXIqT8FA3Aiqt79RlI5ORDegnFjxudPur+8kmt5mLt APVC20bJKDH6X97+8XnRVNKAe4wE1ycrHgFni22Ky/gjNrbXOm8FvLmolgrI0Lc5JCXdmZliZfTA pUCjDpvjdpBINjdLNRhv9JiaG602VGuHf1jPCET1FkNDGXVgSrK69+nzwFoyTo7jmpxagW4WggTT 7yKFbdLhhHGFljYcndigkVitQTyPy8GPm18V0ORe5flRbyw+bPKrpeXNxFLcXqtFKYPTWhm3Cp8Q +wK+/ttjFzQCK+L6ey5KVHQYfrCS8YOccJtY7j0R9aW3YgmJZ+VQuw7fjviry3/nIaFWk8vgLsi3 ZCrtQVgG2Ymq6NGXHKZAiLO/J5Yusadbxzacljctqaolz600jm0ZoVEhWOrycWMclDxj6nfxzFjg yiXESOD7fudhPwvBAAPFED9rEvzQ8wT+Yry31h7VbC3lDRfVAwkagCirUSIb8B2zYYsonYDptRm9 dhKorqfh9n4ifibgeRq5rUg13wkuNay2dqiiV+z2b+Vv8rEsQynyv5k823flY+VIIbRdFvZGuBcf vvrKyJMJRQ8vS2eIdV6YyyDj4XLwyMsfB1P9qBNhdNZWV+6XrgXQh/cqZpjAoDAHmw+0r1/l9qYc spAGq4ul8rbsmIRMd5VbkkFtMnqRNZXNtPzRbi2S3ZyrqyGCWOr8uMY5Ek7nbxwxkZDp8GrPCEAK sTs2CPPavgnd1+Ymq6wsNvrTXGp2IDJLBeMbgFXDBWA/d0dHCtyUqxpSo3xlLbbmjDqhxDxAZQv1 DqR5Hoe4pAkOltqlwtgiTwsInZPTk2WdQzo7Ehg0ZZqHevcnrhhdb82zVxx2TiJOMk1xc68/MIKU sKKI6AAgABgAOC++RDriyvTLgJaRI7BS8gZA5cDlzRaAEjx3pX3PXAHJgdkLrt9HcXFuIwTxaHnJ 16mTuCTt7nCzjL1xrfcMn0W7CNeoKsBcTGtaUHqcQfapIGc5nHrPvezxn0i0NfXcb+YrFwdlik6H /LTuK4I/3Z98f0ol/eD+rL74p3daj+6cVoCv2a70YEhqEjqCDUfdldNqUeXblRosAJ/3Smw7fB19 uvvlmoH7yXvP3tOm/uo/1R9ylcTqfMVq4IX9zP3pT4o/DlgH90f60fuksv76P9WX3xR+r38RtJk5 cGKkAsQBQioNPcEfRkIjf8eTaeTz7VGk/Tl/QmT96Kv8ZqaR77Edc6joPc8NrB+/nQ4fUdu7fkhW ab1F+A9R2f8Anb3wCqcZA+Y7a41GD16FJxRlIDkbqzU+IttU5ISrZyMOUxlbXl+1vo7FohE7t8TM FVyKsi16YDuVzS4jYR7R3sTtI9u4CtX4kk4n41NDvgPJqiCDbc3kX8xrHQY9ft/LUl3o0NZ2uJ4e Q9KpblxRklKceppSmGtnY4omgSGDN5lvfqZt5ILd42ZSnNZeSVcsfTYPsT0J8AMALcd0fPrGqSQ+ iZl9BBSOKhIWi8fhLVPQda5ScpQJHfzW3XmTU5pg156F0pMoWOWMBFMvIlgE4bqWJUnpiJMpni5o e51CW7kt5Fhit5IECiSAMrOwYt6jsWYl9+uAzaZYgWf/APOPGqXM/wCdOhpMWmNZ4TJMS7KFhkb4 Cfs7j9eXg7Bsx46N2+3hqNqX4VahPESem/p16f3nHh1265Y2InFXgP8AzlNcx29x5Xe4SWawAvTd QQOI2YcrbjuyuKK252yjPCUo+muLpfL9DAyEZAm635PCn127ha8vnkSdvQW3VFZh/eRqjMB6nqqy 8VqaUPyplwjtTCeUjHfwTXWdGi1nSi9rdw87aQgRfvSTQCpokb1FTx27+2+Ymn9BsuMNMZxsfp/U kg0GdUiX9LWhmmeMBVSQhFO7l6Qk/CzD59q5lEjuafC5b8/x3JZqdvcWbugukuIVfgs0AKcmCcv2 lQ7cqHJx3F0wljrqzPyfqfo+X4Ut/qUd3bqTFLKLliWlnKlZVRWqQpqvpjod98wJYyMxmTsends5 unj6QYjePPn15IvTNbudKgMYuLK+iiYzWKzG5DSFAqhWpFJQFPsVUUpvTLtRiOQVuC2Y9XETBkAQ L+O34pJ/NfmW41W/gt5LCygW3pIslr6h2JUbcxD4UoVwaXD4cNzZLR2jqI5clgAJZbSIAPhH7P7A Pdv8rLS4AUrIpFqDS8eUb+izMVPIGJK/COfDtTdffrTLDJuOS4gdyhq7KzR0FN27U/ZT3ODG1gAl 2mafc6tcRWNsGkuSCIIgHflvXioUMa/RTJmTfDFxbANQcYL+CJh6YimUy86D4lYA18AMEjszxY4i YB23ZvaalLBqN68n1a4hvTcLbrHqFpEhEhPpPJWYceDhX4tToM1eTSXKx/Fzu/1PRQ1RiJCVHgOx Eocv9Nv8El9TWTdR3hmtGjiPplTe2gYhtz8PrV/Z69MlHSR8Mjr7i1T1OU5gRwUB/Phyvffiq031 rVr66WBLL6tbyW9uEuZH1G0b1WUkhwfWpshVAorsuQho9xxdPfu3ZdYeH0Vcj1lDb/Zfep+XtSur G2NveLbXCywyQwBdQtECsy8Y3f8AfCnpvRqNtthy6S58Uf4u+/1MMGplDHwzr0d0ocv9Nv8ABLRP rC363jTWbpCeBRr60qQxqeIE2/2e2S/Jw8Mx6+5rlqMvjCY4eGqrjhy2v+LYpn5g1OS/WCO1jtoJ I7fjcMdSsyJHqzc/74gUUqoT/Jp1yvHo6I4unv3bs2sJgeCrJreUBXT+d9vLrdMR8y3FtPrl3LAV aJ2BQqeS04jYN3zaYz6Q6HWwHjTs8XqO+2+/PbbfyWaU6gN8NfjXtX+IwzcCQoo93UxAcOw/Y/yD /lZXSo/TrHULjS7l7MKvxorB3WJZKLyMZ5NuGHUUphEgC5eDTznHiA9NqjWurtps1zqHChA4QidJ hGGkj+EIDUfZ3P68jPIKcqXZ8zKXIRjuSTsBf45bvXbX8yPyutYLjzQ95qE3mO4tYbUaE0a+ghgt ZLZI04RonpEyueTSFt9tvhyYlsk54dC+Z7rRoKQkR8eUkfRadQfc5EDm0eMWYv5StKfY/wA/uzWc aPFKSeZvL1tZ29m6R/3tzFG5AJPFyynorfqzIw7n4N2GfFKneXtHtLy3cuo5xyvGB34q1BXYfqHy yOY8J2XLIgs5/IjyjewfmjY6gU+rW/1i5S1kkYRvKfRmQ+gGFX41qWCkCn0ZlRO0W/CCdzsPxyfT d75J0691mDVnW6j1i3gMCQpT6oC0Jh5B2RmCAGtEkBPdTuMvSzXFXiH/ADkJcadD5r8kfX4RcQuN SAgaA3SM3CDiHgVJC4r/AJJ8cnAx34mnJCRlGqre/l+t85ecYYG17UJ4NPm0lJXDRWF7HJA5V6AG KF1qqggkcm6U2yIYaochyCeW/mTSj5d03T5tOW2msg31i+hlgjmloOXFOJjmoAw5Vb2yicr2AcnH OMY8+XcRaU6Bqd1ot0ru0EpuAkSgzio5GoqB8Vdhy8MlOacWUE70PijLEaJbarLN5lEMcl4wme0Z kURhmdWKs1QzAeI28Mv04GQHcCvOnXTmBP1Amz0F/cjLXzNo6+WLPRRpEcV00onj1QvAkhhaYmiF uMgehoPi269MokbtzbjGPD+kd6T6ddzadeSzvPADGXdo5LhRTgDsADvXl8PGte2GR22CMGYEi6Fe Ya1q38wXV/JcrZ8Wk+0IkDqKMaUZhXoAaYxywrcut1RMshIQ0bXEFxHBd2rQGTdCwXehJ7geOOxF g20BfEY+XboOyfyHEpCF1Vk5RUpT4v5f5U/lyUGcea7RpdQguVurBFeZCEXkFYBnrQ8W2pt1Iphl EEbuThnKBuKhfRXcc/K5WjynmaMGG58QWphYTvc9SoKSanidjt8vu3wsYyJLZJINAenj3r8satHG QXKGqKgkfd/A5EBslKgtbn+yDkqa+MlTuIriFgJo3j5jkhYEVHiK0qMg2bqXI4EtMxB64WJKZ6Ky kPX/AH4vUKf+JYJNB5pmzRemBtWi9o/5D75HdCbWF3a2mmWVzOw+rRX5a4QqGBRbdTuBQNypSlci QSJAc6/W7HAQIQ4h6fEl8dobfjvVr7XvL2r3+rz+XvUj082EbTQXEcERRze2/JURKr9ogjetNugy GOMhGiev6G/UmB4zEUOAcu/jj+jv6/Bj0npGVaAdR2j/AJzlrqQoXQj4W3ECvqxdk8D/AC74Y9WV vQGUU6ZqE2x3zskf1PTCwBAvrYmtKU5tWtQafePn2OVp+fwcrSH1/BV8lXWiWljI9tH9ZvTNMSJ4 lEUbmT4eFGIcKnZkpU9KDeOo+pzp5sMcZBiTlJ2le0R/V6358k8/Je8vLz87rSe8meeY3dwoeQ8i FW3uAFFeigbADYZlQ5RcbHIylZNl9i5kN7sVfO//ADlhqbaVqfkvVEAdrRr9hGW4cqi3GzUan3HI yKOKiHz5rvmnUvMd62pNA9rICAIU5HgOKoo59SxCb7DJAOLqpGRCeRfoCTQgdQMZvoooU9SQszQh nUOzKrL0HY/RlGO+M9yNNASsSbvrHQG0vT9RsoVW8SG3kuDVuBkM5DOQTTdR2wZJS4uHoQUakCMh SzU/Mnl1PMDXmq2NpqTzoielwie3jBZzIqq7oE6jcHkOxGV6TGYY+Hfbv5uTgnEykSKtL547jVUt 2t7G/mt41ZbSJI2MSRn4qRAAn7TEn8cyuMR6hxc+CUpXESI6d3wULzQr6KYQvYTQSMeEZnNByWgK brTkOlK5OMr6uMMEzyD06ea7kbldQ29vPUiSK2XjGCNtgSxHTNDjxeHERsmu/ctmolxTJoR8gw7z XT9NWAPTg3cD9ebLS/QXGI3CVxKleo6D9pf5D7ZeUgITVgvKPiR37g/sr4AZODIDdU0yK+liYW4q sY5SHgXAjLorMzAHgA3ActutK4zqt3JxCV7c1TWYNRjYLdqRK4jehiMTFGjHpNxIXZkIIanxVrU4 YgAbcly3Z4ua2LX5YNFn0sQRs8sySi9PL141jUj00auyNWpFMB52wF0oJJqrLz5zcCoAbk1KE7bk 0yYYSvor3msarNHbKwMRtYfRjaJPSLqHYlpCpUu/x05HelMACcs5EBkP5VyxP+YGjPq/ObSoJjLe erV4liiQt6kgJK8ENC1dqYmTbp4Eyt6R+ct5ef4O1hPNOv6Xrc1xc2reUYdPMJkgRXkNw7BIUdEa IovEyybj7W9MBcrMRwvAreyu5kUxQSScgSOKM2y0BOw7VyLiUoTxSRSskilHHVWBBFfY4WBCYaIB Rq/zr3A/WMEmnqmbLH6Y3HQftJ/IfbIgoITvS4YJtNsYbhEayfUP9JZ904CBC3Nl6BfEb75Ek1Ig b1+t2GARMIAnbjlY7hUN/wAdzr7TPLdjqOqxaLNDdWX1CP0rm2NULfXLcsZHavJhuuwHY9a5XjlI xBkN7/Q5eojGPGIH0+GP93H9iRMsfrDcUqP2l/nPtlrplC4aKluFIr6sXdT2PgBhiebJ6EaUzUqx rz3IsVhpzkcuN7bnjtU0djQVK/gcytPz+DlaP6/ghPJTGSCdxwo08rfHQtu1fFv1nHPzZ6jmyz8k n5fnPY7KpW7uFHEAV/cXG/vmTHlH3Jw832Pl7kuxV8+f85WWIu7ryotYeY+vBVn3U8vq4+z3p77D Ilqycw8TMNnLpdw1hLMPqxBuCgdmVubKvobQrCtBVue7An5ZVj4hI8VV0r3de9nPLxRjXq4f28m/ J/kq88xvevHYcxBIvqmOZISnqBioRJG4kEg9G2/DLZRlVhxsFGRFInSNT8taXpUD3MMwjMkvrLb0 aYqjhB9sIpYlj3O3hmv1GLJMHgrj87psOOO0pfSe4sRv0ttV1C4njUCJpeUfNV5UNTvRPbM2jGIH k4kMlM68ijXtJ0e/8w2unQ6hpULR28xmmSGOF2KBnjSrNvzAbjF9O2Y+rweJHYkEd3V2mg1JiLIu PTy71msa7PqE6LLBbsy3krB4G9RCsRQu8Tcg5JK0qyLWvj0s0grGOdkdefx81lmjxSqgL6foTnyb qkHmLzkbS/ZItMa8gQyKQpEUzkNybnIoNB2O2QzYYiQ83VWJ5PIln/5i+SIF8oapqes6BZ6FNp7/ AO4S7gkCzycrxokilCzXAbnbcHO9aknahGZPCANnKzYo8N1TwKIGv2+w/aP8h9sBdcEJqtQ0fxcu vcn9lfYZODKPNV0ixNzHMxuPSECiUIDuw5qrIo/nNQR7A+GMvm5OMX1pX8wabLZXKos31pXjglLB mcEyoHAqAtSleDeB2wwIrua9RGjztL7RB9dhJXnQoStBQbjqN6/ThLDHzD2Wx8kaDfaDY391PdiW 9giup44JI4o/UmRXYIiqqqgb7KjZe2UmRDuIaeMognqrT/l/5WlEEcs14RFSKPjKin43ZqsQo5Gp O7b027AYOMth0sWK+e449FtY9C0v1ltdQtmurwyymZnKS1SteCjaNa9emx68pQjcuItOszmGHwYg UTxE/wAR8r7vLved3FrKtoOXT1DTcVpQdRU5ad3UQNDdPNHQRwRRm0ilcIZzLISKxsUX0mPqooUl a/CqyfF9rIShdb05WKVdL2/H42PmkeuJxvXpGsQ3IRDVQGJYBSWdiBWgqzHbrkqaZL9FHwt8XH41 70/gcjJoPNdLfz8yisAqkKORNdhxr+OTEAwJTTTdZvkshDAqS+rLwS3kiiuOUpQIOKypIN8gYepy sWqnGHAKq+oid9uVjbkFQjzFDDLBNYrawA8ZOFrb27bsGCNJFErdYejNTb2OR8Md7k5NRmlGQIAE gAfTEcjfQbb/AD6qV1Zara3PpXljd2rgB6TRyKSpdqEUU7bHf2OExcPw5DmEBOoPoMH5cZogVqTR gDsQQKUyIFWr0RmptmqtFJH5vha50yLgSr280cwKsVP7urdR75djnRbtPMxlaA8n0itGBQMzSOSz VJqW8ScOSVlszSss+/KLy9d2H5o6BqL0Md/cXUo4kkKvpTheXwgA9e5zLxyBAcnHhMQJHq+s8yGx 2KvE/wDnIDX7Ly/5r8k6ve2/1m2thqYkjUAv+8hiQceXwdW75GRYk1IF48ms3fmcearvRlis5XdC tk0xWN1KipIjX4miVCyinWlOmXSzegRrl1Y4sNzlO+fT3CmGRxa3ZvLINTn0lbklrmCAyQ1K/wB3 xCJQA18BtXxzElm57tWIjiIltfVLLuC2himRbuO6kLyF2RCkhUshVipVdjxY0B29u9mM3u1Zdvco Wsd0wZoBK1BV2h5jpXdqKcsNOMAWeaFHev5YfSbFdQu7DUovrt7a29xbBV4XEkVGWS3aQ/3IY8XH jTKJ5BE7kB2+HFE44gRmbBJ3A/iIFek93W7Pcp2EGhz3Efl+HSLi4ubb1Cyi7iV3oOTcJI4o1Ioo IBruNuprKUyBZIr8ebXCOKRMBCRl09Q+307/AGJrbaRpcSXVxZWo09fWjje0E/rggx+op5b1py+L fr92YWpPEIm7G7YZQwQkccJRy2BZN1YP07DhPcd9rqjukfnPVtQk1DT4rm8leCNWKpLK5RT0qATt tmRpiTA3u6aUyaspHHMVZayAFgOIJcV+Ejb78uIQhtUL8o+de/Xl/KnjhgziN1bSo7R43MxIZSrJ RY2BkDDgrcypClS5NK1IHw98MrrZvx115N64tik6/VkbgUQ8ZEWMhuIMlfTZgRz2U13HULko31a8 4jew2XQR2Lz2DWkUwZEH171JEIModiTHQVCenx2aprXfHdESLFPcLAxSeWtO9FWS2NlAYI3YO6r6 a8VZgEDEClSAK+AzGk9Dh+kV3KjtICKb8nQAbHfl74Gxgf5qJbDU7eOVWMq6efRfl8I4u1QVAqWJ 40Ndt9jXa/E6rtCmB3/6OFlbLZidXoReCZlYNOGYFo+KrROAXZt617ZYLdb6a2R+nWmoPa27wFxC zmOApC8gNyRGCiMKhpOJj+EEnfoMrmB1crFxfw/j9qU6zHMt00U1RInJXDKUYNyPMMp3BD1qDk2m Qb0kSKG41+2v83t4ZGTQeateWckpSRVFeIDEh9/h2PTtTDGVMSCradaTXGoWmnxFY2r65Z2KL8Ef Ikk06hTkMmQQiZH3fazxxJlQegHQvMN3AbEfo2ylldfWkg9WOYhFdvTPEglehYH9qmQjOPm5wiZG rAJ/V+PiparrWo+c5o9e1VBZzWvGwS0hYtE3oD1RKyShx8XrkcemQ1EjHl1Z6rijUmO6xoNv6bXv IC4SUSNxREU8nFRxRVp12yrBmIAh0qnCnk4iT3p+5HjmEUJZrCvIkEIcoJZgjlaVpxY9wfDLMfX3 KDRdZWEdooRKmlTU0qSTWu1MgTbMztNvyPvb6b84tNgluJXtoLu69KBnYxqWgm3CnYfZzZQAqLmY Zk7Xs+yMyG92KvnH/nL2TjJ5VTgXZhf0VQSdhB2BHjlcw05uj53stZ8xaSLmHTxNZ22oFBcSmKnQ HjSU/ElatWjdMIKYSlGKK1CDUo1EMuoTSAjkYldiBuACRXauNDuDgzsFAxyTRyq0gLSovF+VSzIG 5cl6E0pT/PaVCtmG5Tfy7qHnGLT/AE9Iur2K3EjApbzMiV2rUKRv8VcMiLdrpgeAMl0bz/BplmEW 7gjnuIZYdQF7bS3R5NdTTiReNQCyyBWqCD3zGy4BM0Qff8v1N+PUiMbEx/VIlf1SPdX8V80LpmsW ek6yfMFhqVt6hMqpaNZzvbhJE4HihLfZHifDDkiJR4SD9jjYeGGXiGSO99J1/ubTiw1qwvbW5SG4 Se49dZHWGF7eOJeHEqEYAVZlLHjt4Zi54CEYxHmw1WcSjL1AkyGwB/hBF7+/9dMX85o0uoWaJQsU NKio616ZkaM1EurI3SMWE7xxoo3Wtahv2qt4e2ZQmLRwlU1HkBCGrUAjka1NFXfc4ItkeavpO6/D EzlXWhTchyQUYDku68SKsCN8MhYb8Zo8rXa3M8jKHRk2AQOzNxCgA0JeQ/EVqd6V+yBhjsxzDiPc g7Exi+iaRwi8xU9QKnvU1phJ2aoQqQt6nafmf5asLC00p4Lu5ksYY7VrmAQejIYUCF4zJLG/FuNV 5KDTqAdsoMLd3j1EYxAVZPzV8pp6Li3vpCy+oyIsAMbBiOL8plBNBy+GooR3rQCBZnVRYr5182aX 5guFv7RJYVitvqn1efgsrGRmbmvptKvFePxVYHcU70shs4WqkJsQP+8iDsHan3DJ24XAAFiyOtaO wqOJoSKjw/DARaQSOS12LAAkniKCu9BWv8cLFGaYSA1BX417E9x4EYJtB5ox3bgvwHoOzfyt75BV MK31i2lUiJw4Xm3JAQUB4lhU79B88lEXYZDmCz9PNc84ZbYxpJZt9YkkVyeMJpG/EuCzmjfCCfDK o4qNlzoZJXe2zHL2LzFoNtb6fcRXIu/U+tG2lhkjLq/7pT8aIShWPiNvtDbJziJDdlqTxUEK+paj rEosY4DbskoacVI4qh3Dkgd+2UmEMY4nDlABk7xnxzX0xS2+Ja7tYV+KRZBKyjqECsOR9q7ZZEUC VCYvauvHk6fFXcHw+jIcLKl/5LwGy/OazaVlZRdXLFkq2wtpnPQeDeGbEChFzMHN9Fn819IXzo/l Vmm/TEcTSvCqRPbAJALkx+okjSiT02+0yhfbda3uSz/FXgv/ADkxFG+u+TmY0KLqJWjcf2YK9mrQ ZTnHpLCWOUyBEWXmI1PTHlNrBpsovrcR3Us08r+gwt1WR1j+KQByr9kHXfMDFgyA8Uj6XYZ5YjAC I5MZ/M3Xp9Z1oa6IxBb3KrCtszcm/dCgZqIign2zYYsgns6TUz4pWxyRVnYQxofWALpIq0CUc/EW B2GTiGkRJ2DINOsk02D17xpLfTZin1oiNlVZnt3XgTxeh5MpoF6V8MEt+XNzMB4fqJEf2MYhOnxv Iv1dpjJJ/os7OVVY05cg6ADkWqvcUphnGRkCD6RzH3buJGUQD39Gffk35F0jzZeXY1iSWLS9Js57 +7S3C+tIsbKOCFqj8MMhunT4+I7so1jyV5U0zRtI80+VXu10fzPEZY7S/wCHrRmE9ax1Wjc+lT88 w9YNgzz4RHcPO/NZA1mwqKjg21K9/DbHSn0Fxq3S+BlB+yOg/ZH8h98yCqN07QZdbt9QuY1Kx6cn LkKDk1AGWnxdApb/AFQT2yd8PNvwYTOyOiRwXk0KhUC0Feor1Knr2+z1FDkpRsUUQmYmwuub2e43 l41PGvFQv2VCjZaDoNz1PU1xAAFBMpGRsoiO109tHmumuSuoJOiQ2nGoeIqSz17UNMiSeKuiByQR 9Qnfb5ADx8B75JeDzRl7YvZJaOtzb3X1uATlYfjMXJmT0pgyrxkHp1I32INd8btJgR1ULdFe5jWU mON3USSAfZUnc/RgPJSSEVqsNnb3E9vZz/WbaOdxDORxLpQUamMbrdbS/JK4nFiUbprKAaivxr2B 7jxIwTaDzRUkiUUAAmi1+Ff5W98rpKtpNkmp6zDZPPFbQqjTl5AByMacuHepPHYeORy5PDgZUS2Y 4cUqej3OgyX1ktpezUBdHd0tVic+nCxKB3LbAkdt6ctsrxzAOw3c2MeI0fu8kuuvMOqeZbo61qix wX9uEsYDZmRI/RjHrRvu7H1KzGprTpQDBq7AroWepsASB3UY0KcixLySMXlkYks7MaszE9STucwh sAByHJwZzMjZULu5dWEEAD3Tiqg9EXf433+z+vJxj1PJg3DbLCp3LyOS0srdWP8AADsO2CRtUdLP EWVea1+Ko+Gu+/jkzEtia/k7p1zP+Z9pqMrm2hS8uI7T1lAaeQQSK4iA3KxqQzMfhGw6kDM7oHN0 8f4jy+99NLHYrSz+uSm3H7n0WC+kafD6XqcNz24865a2lNcVeA/85R3NxaX3la7trWK9uoUvvStp 42mRuZt0PwJRtlYkUPXKc+DxYmFkX1GxUT4SDz97wW41PUNI1h11KGSKaVFgkikDx8EkjUM5DtyV vhXc7Gh2ptkzH00wyZDGO6Z6nbR63oIS2a1At5CkckaM0jD7ZZqK5O/wgrT7swtLjOORJv1fYwOP jgKA/SUot9Gt9PCs2pCO4uwIhLHI6GNGIMnIrGx2FAfGppXM0yLSI0RXMpRqUlvDPJYpPLdQRkMr iWsbHgDUBkB2G2SiSRbXM1shQIFeiRyl1PEEfGpJNAAAq9ckx8IncBnGm+aZfIQt5PLd2q63/wAf 17QSoI2CuIEIVoiC3292rxFCu4yEeIkk7dzl5hixQh4cuKZFz22B/mjv2+rz5FM/8e+avOOq3txr 979aaz4RWqKqRoikvy4qgAq3EVOYesO0XDlMyO7G/NdP0zp5PTi1a08ffbHS/QWB5hL7f0+9O38n 8hzJQtn1C+sllisrqWCK7AW5iifgrhQpHIIaHfLI7jdnCRGwPNLEUE0JpsaV237dcMiRyZRAPM1s fu2XKoNRyo1SAKilPmcBJ+CxANgnez7vmrSWc8Bj9dGjEqCSMt8IdSSAyEijLyUiowcR7mzgAI36 fjf3q8Gg65dxiSysp7qMgfvIInkTkQDTkoO4rQ5K1hE0tvtL1LTyi39rNaPICUSeNoyQO4DAbYWB sHd9Lab+V2iTWOnWsflnT5vKVxp0M175mkdfrgaS3kkmmjkWYv8AA4joPSpue3QOaMcafMEgUOwQ 1UE8T4jthcJOPL/luXV4b+VXVFs7eScBi4LNGV2HCOWvwsTvx2B3wGQDZixGd10SRgQSD1GxyTSj dM40PL+de6+I8cjNpPNGP6XEdK0Xun8re2V7qpSRRtJalSokMqoGYoV+JR9oCm1etclHqyA3DNb6 bXk065juEt7FbeSOSEQEwozMSOMQU8V5Adf8oHIQ4b525gEhe/JK9b8kazobQ6RfWgkvX43KRC4V i6yuVWiqztU+kV2/XTJykACSzzxJIFJTJo0ksv1JLF7a7Vys0r8/TjC7OKk7spp/HInKBHiuweXm 4so1sRRZNZ2VnptoI4tlG7yH7TECpJzXTmZmywruVyQyMQQQyNQ9t1OCPNRzSpNKhg1i2bULnT2t QbpmS2kdwxSPkqMG4/CzUH35sybjs52KIjkiZgGN7gHn5X0Zl+XNxeTfnPpRnuoOMUUsNsLZ/VSJ EtBQgcUTcnkaKAW5bZECohycmTxcxIAjfKMeQ8g9nPljXZfOLX6+Z7qO1MIiTykIp/q6f6N6QLSt MyGjH1OXADp8PLc2oIelYoeAf85OSSp5i8krGELP+kFJetAP9HqRSu+ShkMTYYyxiZAeH+afLl9f 6zK017bhkSMCNQycV9NQpKM0hBZQCTy69NhlGTPw7kMNSKoJh9b01dH0uzuYIrS40sTC4uYpYY57 gkBqKOUMvFR1q3iOuQM+IbBvxyiI7nl3HdKNEs5rNyQ0DrN6cbsJ0JQtuOYWrKdjyqNsnkyAc1xZ B1oJBrFteW2ou9zF6DyCqFB8BPAV4sOtOXxZZCYkNnW5QeIpx5dm1tpJY4Ed2e/sXaf1ot3U3BjB R68uXJqGtBTfqMhkqi7LS3wD8dVJ7e5u9HDTQqkFuqH1FaIHcAKBQFu47/22k06oiwifLF5Hb3up gozl5VChKH9px1JUdxmDqxYiiIslNdW8t6/q+pW82mabc30FrGzXMlvE8ixivV2VWC/TjpR6CngJ OwY9BGoYq2xFAQWUH7B8Rl9sKQuqKoMfE169CD+yvgBlkFjzRvlW2vLm+kjs7Z7qX0+TRx25uSEE iEtwXcb0Fffscm2wNmgyGbTfOvpsI9AukSMuEjSwnWOUM6nlIrBgahT/AG1xtu4T3Jc/k7zXcXAm l0bUSu5CLaXBVBs3BQVPw1JA3/rjbA4yWS6R5584+UfL9vpOoaQtvaxFhaSX1tcozgl5GFeUasQ7 in4+OLOGWUBRDHPOvnnUfNUVsb8wRtYvKtvDbRyInCShZ6uzn9gbV+jFjly8XNLbXWdft7eKCHWL i2g9TkIRNOqI32uRQCleXcb1GLD1CjdJnq+o6bOLfVY4CdQdmjuoI1VEcmNX9Uemq/tNXdRWtB9n IQiQTZsdHYZpY8sYnHHgmBUt9pH+cO6+o5bbJO0eoWqTSw3BiEg/epFIykqx3VgKVA71yynXE8PV LGHWnXtixROmErE7zkLxYE0PQbexyMmudXsikmglBVZQCgX7RNDUdiFp+13wcJURsWjLKytH1e3i u76OKzVGlE/IheaICFqV69KbZXkkYwJiLLOEAZVbPrjRrK901YrnVr24gMyNExmhkid4o3I4yBG5 MpaigHYV75GOQ3ycyMBIgG+f6Eqj1O715TqGu3EWoarAy2tvPxj5CCJeaU4qu4ZzVvHK9WCPcy1Q oCQO6rGgTm1KvIxeVz9p2Y1LMepJ8TmEBQA6BwZSJNl0iRyJxdaj3whFrGVFgdV2CowA+SnCOasA m/SRlgWTUuUkpUJK0jemvrD4v3lT0pSTwzbEuYMRoG+b1P8AIjVWt/zB0/TEaSa+nM8l1e3LNwbh Cwi4pWpQBeSvy3VunSlc4+oG+TsMObgxyxiIJnR4uu3Qd3n3veoYfOreYZ/9yFj/AIZaL/RNLJDa iLj0AFc0gj4t65LsebdmDAVGWNJFPQsUPAf+cnrQ3Os+UwLoWLxw6i0d40jQrG3+jjeRCpFRUdcx 9TOUY3EWzxw4pgXXm8fvND0S4sL24ubyC91KAQh736xJcFecyx1cFqEBPgHywabJKfMENmfDHiO4 OyGu7byzZR2ElzwNxcWUEjLPWXjUH7HPlxHsNsjmGQn08nXaiIBodzodX8t21Wga3QkgkBAAxG45 UG+Y8sOSXO2mJopNrWonWbK2vZJbO1mBn/0dGEZUMKNVabctuG+ZuDAMQ4RZ5c2chx+rYJLNqVxp V5PYq6TJ60MxKKkkbMgZlo9K7eqaiv35cRblQnwRpc2pQIgiikleOnRgEFaAfZDOP2euMhZcEwZB 5LWO8uNR/d+r6rpwRgGY82f4adya9BmJq/4WIBvZ9H+UvM3lHTPK+iaPrWtjy/qGnXY1K7tllkhl ukDSPFGxhZKhuUfONw1VXiV3BFmnPo9znQIiADsXgXnXU9O1fzrrOqaZ+7sLy6eW3G6VVg3xcabc uuSJcHIQZEhInVuX2+x7n+Qe2NsKX6Lreo+WtVmm0y4kguGj9CORVjclHYMw/eKVpVf5cvibFrA8 B2ZG35v+e5Fb09SkEaKD/vNbF+vU0QCnEVwANo1Mj1T3yX5489a9rumaSuvKDqVxHC8n1e3HpeoK mg9Pcr4ZAnemzHlkTVss/MGPy35h8ualJYarqDXnltWnnF2tp6csZuGsnZPq3EiskZK8qA7jqGok N2eFx58nh15FBOyolz+9DOGD+9FoBvjE10cAxtT0/UJNMnl4HlKp4SLV0BQ0qVZGjavtSmS5t8p7 Cui7V6z3j3CBVr6YkjVvjLMoJKhqsetKmpyUTs0S4rsKVvaQXV7bWkNVknkihDNtGGei/FsD1rXC CWAhZe0ap+Un5drBrWj6JdamnmvQLe5lmup/T+qytaQRSyfYqUDi4ASpFCDXtWJNucdLEDbm8MMk 05Cu0koJ+EbsS1O30nDsHE4bWRy/VrhJjVXRq8aEAkdjxKEfQcW+BqJCPuNUubxrbk9ZkeqyO7EA kgbtIzUrTftjQayLTi/8768aRTvDNIswmEimUklTv8RbdXFQadRlUMQHeyGQ3z3SttUvLeKFUR47 QN6sLlOJL1BZgTsTQ02/Z2+dhALZlyGXuXxa9eORxmm9Xfd5AEoVIYUNB8t/xyHhR7h8mpFwa1cH SzDJBcS3cl0JxdCVlAh4f3e1aCpr0yJhAHozBjwVW9oNn1IFx9buKUYbu/jx708cQI9wYxiCVg0C 1uLNZPURZIVUfFdQLVpJevpEBj8Ox32+17YbNu0GAcPN6N+SVpolv5501yrCW9nkd5ku0PCG2SST g0cSq1GaJS1TQgdKHK5Diq72LlYMvgCRAiTKJjZ3r3efn06PoaT81tNj83P5adJPr0bBZo1jjKIT atd8WlE5Kv6adDFuafs/Fl7hM8xV88/85ZCD1PLJmjR143orJyoCfRP7JHhlcyba8hfP9na2N62m 2UEcqPMzW92ZafVkeaQiL0Sp58V5gtyJNfbIQ4uKXFXD07/O2UsvEIDfb5c0f5v8sXmieYrmxvTa TSmOOZDZBvQCyUNEBUU65aTts4maBid0tFilP7lev8rfz08MhxNYQdvdNZ3M8UdmtzJMEVAwFFqy ivxDuWAwzgZAbtmDGZEoQatIjwlrJPgi40PHfmEIJr81+/InEd93I/LnvVbLzG1vaLCgY8AxBIXp 8Td3/wBX7z4bieCza/l/NkPl3zRx1QIYVuBJzhCSFQAX9ROX7uUsaKlRToT8i1OXABFnilLTyGWH 1R3Fi9+h+HNF+annm1yxkc1ldWLECm9T0Cj9WS030F1+XJKc+KRuUiSfel8CTctq9v5/5Gy00gBu RJuXfof5/wCQYAtKWqRXD+kvx1LsV4h2PIU6Vpk8ZCJC0LBZzJFNJIvGiMFD1V+JDbhelNqHLRK0 iApC2uo3dldx3FldSW80DrLE8bBCsq0IYfEOnyyMiA5ePTSqwzfVPzr85eZbeLSvNN99d0JyEvII ooYXYAiknOMg80I5LXavVSNsiZdzlQxEkCf09a/R5sP1q0trPUANPu1ntJFE9vIjIzKCacXPJGDK V/aVTShoK4IZCY+obrqtFCOQjHLih0J2NefmOR8+SXs7vIZHkqzHcnjWv/B5LiDQdLLyRem3Drcx j7QVwVDqRvWlV3/Vk+YcaeIxK26kkEnNSqyGRmDjZxxp4sBTf54k0zw4DLkziT8+fzFutMj0jVNR TUNKUCO7gkiiDzw0oySTKyybg/a5VrvkDIOWMUutFiXmO00uGeK40e6Emn3IYxxPJG80TJQMsgHp EV2IPADsK8a5GGQ16hu2arRYoz/dS4okDmKIPUHpz7uiTF2IPxqKGv7NR/w2T43GGlK+KRw5q4J6 7gHeo67kZIG2rLgMRaYXED29grOglV1Do4OymQkCu67/ALpu2O920RxEoebUJXt443mkdY4yqRF1 4KSR/lnsPDwxty5xJiAApC6Qup9FVUUBUMTWu1ftYuOcMu5PrdgbcFU+EqafC2w4L75SebXTUkjJ 6jiMEpyajKaGjA775KPMJilMlzKIpLfnOfSIQn4yD+9JqN+mHq7IRlXN67+Qt/o03njRrWOCWa5k 9R2uZpCGjdonLCMAkgDcbEVrVqkLxrMTxc9nJjqICHBwXku+Im9u4RqvnfwfV36Pk9L6r63+g8eH pcfj4Upw9Sv2abfZ5e9d8ucVG4q8W/5yC0G91LWPK9xFo13rFraLeGeO1tZLxFZjAUEqRlPhfiR9 oe3TISCRV7vCbryD57Goerp3lfWLe3ilMlsDYzq440KluEY35L44xut+bTkHquIRepeS/wAx9RnN 1d6DrFzdMvF557S7kkIBFByK9PAYmy1TEiVFfy98+U/5RvVOv/LFdfz1/lyHCWHhlLbr8qvPE7cm 8taqDShpY3JqaEd0Ptk7IbccSEN/yqXz2ojb/DWqk+k5I/R9wfi+OgNI/GmHiLbumWnfl35uitLW OfybqrTUb1H/AEdKd+RpUlK9PHKpwkZWCipI2y8k+a7d4Zh5O1dWVm+zp0oYUA/yffKzhmRVtc4y IVtU8led9Q1G2nj8savGkCkN6ljcCtT24rk8WMwiQerj+Cb5KMX5e+ex18uamOn/AB5XP8pH8uSM WXhlzfl55651/wAN6mRQj/eK5/kA/lxESnwz3Kr/AJf+eeQI8ual1/5Yrn+ZT4e2DhK+Ge5faeRv PNtewXP+F7+b0XST0ZtPnlhbgWPGSNl+JTyoRXpk4WExiQbpjuoflv8AmM97PJF5Y1WNJXMhSHTZ lj5P8R4rwIUb/ZGw6ZMudDJtyaH5YfmWsAlGgatUkj0/0fNzHvT0sDLxAu/5Vl+ZdOX6A1amxp9Q mrv/AM8u2O6fEDpfy0/MyMf8o/qz9PsWEx61/wCKhjuviBNNG/L/AM+WsNyreWdQmNzGiM0+lSmS MhxKTC7CqttxLADY9MJcbLK+QWeYvIPn66uIJ7fypfwSJCkDG10yWMMsaqAzqFcF2NWdupON7M8U 65hLI/y1/MuRWY+XtWXiQKNYTAmvgPRNaU3wbt3iB0f5afmW3A/4f1VQ9R8VjMCKeP7nCjxAtk/L f8zFXl/h7Vj1AC2M1dj/AMYcC+IO5E6P5C/MK01C2v5vK2o3LW0gcWt1pU00LgU2kVlQMpr0ph6N eSd8gvv/AMtvPN2FI8r6kBVSw+pzxnknJQQBG1F4v0H8NyZAOPiMhK6Qx/KrzqOVfLep1FQKW93u 1aD/AHWPh/H2wWHJ8Q9zR/Kjzpty8vakAOJB+rXR+IGvQxHbbESAQch7k1tvy885xWojPl/USVXj yNlLvRFHda9sqPNwzjl3L18g+chKSfL2oEVPWycinMHoVp0xtAxy7kDe/l75zWX1E8v6lxdGRitp JWrVpWiN8PiMkJlyMYPW3o35O+VbvT/OHlyVtG1C0miZ/rc89jJBGD9XlD8pmAHEsV47f2wF3zcn 08IobvqHL2t2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV 2KuxVg/n3/lWX6Stf8W8vrnpx+hT65x4/WU9Ll9X/d19alOW9OX7PPFWAaL/ANC+fXbb9E/pD9H+ nZ/WPrH6Q+qcPWP6O5/pD9/w9bnw9D4K8vV2xVWg/wCVN/40uP8Ajof4l+qS/X/U48f0B9XWvqV/ cfVfQ4U4/wCldK78sVUx/wBCu/VJaf7z+lec6fpevD0bf61x/a5ej6P2fi68f2sVf//Z xmp.did:BA83EE0B77BDE11186BAA5DD8AB48C93 xmp.iid:BA83EE0B77BDE11186BAA5DD8AB48C93 xmp.iid:13ECAB9E62B6E111873AF31CDCA1F964 xmp.did:13ECAB9E62B6E111873AF31CDCA1F964 uuid:C1CD1A507BD1DF118EF8DA1367FF84A7 converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator saved xmp.iid:D03A8FE0D23EE011BBB794E164CA3EF8 2011-02-22T14:27:05-08:00 Adobe Illustrator CS5 / converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator saved xmp.iid:8F18A9C5F866E011B0FCC1BC846B5BD3 2011-04-14T18:25:14-07:00 Adobe Illustrator CS5 / converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator saved xmp.iid:13ECAB9E62B6E111873AF31CDCA1F964 2012-06-14T13:50:48-07:00 Adobe Illustrator CS5 / converted from application/postscript to application/vnd.adobe.illustrator saved xmp.iid:BA83EE0B77BDE11186BAA5DD8AB48C93 2012-06-23T14:04:39-07:00 Adobe Illustrator CS5 / converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator uuid:C1CD1A507BD1DF118EF8DA1367FF84A7 Basic RGB 6.833306 4.567505 Inches 1 False False Helvetica Helvetica Medium Type 1 001.006 False HV_____.PFB; HV_____.PFM Cyan Magenta Yellow Black Default Swatch Group 0 White RGB PROCESS 255 255 255 Black RGB PROCESS 0 0 0 RGB Red RGB PROCESS 255 0 0 endstream endobj 113 0 obj << /Annots [114 0 R 115 0 R 116 0 R 117 0 R 118 0 R 119 0 R 120 0 R 121 0 R 122 0 R 123 0 R 124 0 R 125 0 R 126 0 R 127 0 R 128 0 R 129 0 R 130 0 R 131 0 R 132 0 R 133 0 R 134 0 R 135 0 R 136 0 R 137 0 R 138 0 R 139 0 R 140 0 R 141 0 R 142 0 R 143 0 R 144 0 R 145 0 R 149 0 R] /Contents [150 0 R 147 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 1416 0 R /Resources 146 0 R /Rotate 0 /Type /Page >> endobj 114 0 obj << /A << /S /URI /URI (http://www.xilinx.com) >> /Border [0 0 0] /Rect [275.58 26.4 336.42 37.56] /Subtype /Link /Type /Annot >> endobj 115 0 obj << /Border [0 0 0] /Dest (G3.473143) /Rect [167.88 690.9 206.1 699.9] /Subtype /Link /Type /Annot >> endobj 116 0 obj << /Border [0 0 0] /Dest (G3.475116) /Rect [366.36 660.9 389.4 669.9] /Subtype /Link /Type /Annot >> endobj 117 0 obj << /Border [0 0 0] /Dest (G3.474932) /Rect [387.42 623.94 410.46 632.94] /Subtype /Link /Type /Annot >> endobj 118 0 obj << /Border [0 0 0] /Dest (G3.474934) /Rect [407.28 605.4 430.38 614.4] /Subtype /Link /Type /Annot >> endobj 119 0 obj << /Border [0 0 0] /Dest (G3.470117) /Rect [400.44 642.42 423.54 651.42] /Subtype /Link /Type /Annot >> endobj 120 0 obj << /Border [0 0 0] /Dest (G3.444022) /Rect [408.84 586.92 435.9 595.92] /Subtype /Link /Type /Annot >> endobj 121 0 obj << /Border [0 0 0] /Dest (G3.444019) /Rect [417.66 569.16 444.72 578.16] /Subtype /Link /Type /Annot >> endobj 122 0 obj << /Border [0 0 0] /Dest (G3.444008) /Rect [313.5 542.16 340.56 551.16] /Subtype /Link /Type /Annot >> endobj 123 0 obj << /Border [0 0 0] /Dest (G3.444014) /Rect [451.26 524.4 478.32 533.4] /Subtype /Link /Type /Annot >> endobj 124 0 obj << /Border [0 0 0] /Dest (G3.444028) /Rect [459.78 505.92 486.9 514.92] /Subtype /Link /Type /Annot >> endobj 125 0 obj << /Border [0 0 0] /Dest (G3.444042) /Rect [452.76 487.44 479.82 496.44] /Subtype /Link /Type /Annot >> endobj 126 0 obj << /Border [0 0 0] /Dest (G3.444048) /Rect [437.58 468.9 464.64 477.9] /Subtype /Link /Type /Annot >> endobj 127 0 obj << /Border [0 0 0] /Dest (G3.444067) /Rect [452.88 450.42 479.94 459.9] /Subtype /Link /Type /Annot >> endobj 128 0 obj << /Border [0 0 0] /Dest (G3.444071) /Rect [486.3 432.66 513.36 441.66] /Subtype /Link /Type /Annot >> endobj 129 0 obj << /Border [0 0 0] /Dest (G3.444084) /Rect [313.5 413.82 421.62 423.24] /Subtype /Link /Type /Annot >> endobj 130 0 obj << /Border [0 0 0] /Dest (G3.451451) /Rect [313.5 395.28 386.52 404.76] /Subtype /Link /Type /Annot >> endobj 131 0 obj << /Border [0 0 0] /Dest (G3.444088) /Rect [313.5 376.8 379.44 386.22] /Subtype /Link /Type /Annot >> endobj 132 0 obj << /Border [0 0 0] /Dest (G3.467450) /Rect [313.5 358.32 438.96 367.74] /Subtype /Link /Type /Annot >> endobj 133 0 obj << /Border [0 0 0] /Dest (G3.444092) /Rect [313.5 339.78 460.56 349.26] /Subtype /Link /Type /Annot >> endobj 134 0 obj << /Border [0 0 0] /Dest (G3.444094) /Rect [313.5 321.3 533.88 330.72] /Subtype /Link /Type /Annot >> endobj 135 0 obj << /Border [0 0 0] /Dest (G3.444126) /Rect [313.5 302.82 452.58 312.24] /Subtype /Link /Type /Annot >> endobj 136 0 obj << /Border [0 0 0] /Dest (G3.444135) /Rect [313.5 284.28 469.86 293.76] /Subtype /Link /Type /Annot >> endobj 137 0 obj << /Border [0 0 0] /Dest (G3.444149) /Rect [313.5 265.8 422.22 275.22] /Subtype /Link /Type /Annot >> endobj 138 0 obj << /Border [0 0 0] /Dest (G3.479596) /Rect [313.5 243.06 432.96 252.48] /Subtype /Link /Type /Annot >> endobj 139 0 obj << /Border [0 0 0] /Dest (G3.444204) /Rect [313.5 220.26 460.56 229.74] /Subtype /Link /Type /Annot >> endobj 140 0 obj << /Border [0 0 0] /Dest (G3.444205) /Rect [365.94 201.42 393 210.42] /Subtype /Link /Type /Annot >> endobj 141 0 obj << /Border [0 0 0] /Dest (G3.444224) /Rect [313.5 183.3 460.62 192.72] /Subtype /Link /Type /Annot >> endobj 142 0 obj << /Border [0 0 0] /Dest (G3.444238) /Rect [430.68 164.4 457.74 173.4] /Subtype /Link /Type /Annot >> endobj 143 0 obj << /Border [0 0 0] /Dest (G3.444339) /Rect [313.5 146.28 420.12 155.7] /Subtype /Link /Type /Annot >> endobj 144 0 obj << /Border [0 0 0] /Dest (G3.444374) /Rect [377.46 127.38 404.52 136.38] /Subtype /Link /Type /Annot >> endobj 145 0 obj << /Border [0 0 0] /Dest (G3.444374) /Rect [377.46 108.9 404.52 117.9] /Subtype /Link /Type /Annot >> endobj 146 0 obj << /ColorSpace << /Cs8 1435 0 R >> /ExtGState << /GS1 1436 0 R >> /Font << /F1 1437 0 R /F3 769 0 R /F4 772 0 R /F8 800 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC9 792 0 R >> /XObject << /Iabc1670 148 0 R >> >> endobj 147 0 obj << /Length 18 >> stream q /Iabc1670 Do Q endstream endobj 148 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 108 14] /Resources << /ExtGState << /Gabc1671 780 0 R /Gabc1672 782 0 R >> /Font << /Fabc1673 791 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U]hߟ}j]֠zfQNtD'W9F&Y:)W歖e8>϶F5ŐL$E d_ )搗2|<31.s@9,`sJ'o.)U|B5_}JC ( '^B9O_'Ŷj endstream endobj 149 0 obj << /A << /S /URI /Type /Action /URI (http://www.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG932&Title=Kintex-7%20FPGA%20KC7\ 24%20GTX%20Transceiver%20Characterization%20Board&releaseVersion=2.2&docPage=8) >> /Border [0 0 0] /Rect [108 14 194 36] /Subtype /Link /Type /Annot >> endobj 150 0 obj << /Filter /FlateDecode /Length 4712 >> stream x[rH}W FQfKm76hMj{df@;bE,2O ;QɓW؜h_u\(Jd61l=;rC3i]dʪBOͬ)cfÔ/麬L>&[|n-b|Loyl-WE'o̳KtUʖ9D]'=\o1`RHfΓ%WOWӻr=<ٳ_:>oyΔ]|_a揓'og jZof|qؓMM7d&8e33!"b'nNtiLt (.@o͓)-TWabS܊?F|DLK}s ;Ĺq~&>2{8Gٽ)=B{K{GtQ~݆""}}@LRCf!f:t(E" iثG2TJ; g!Ko0YMXn4(kQL+)dW#}qTC˃A. K#f%(c9<Źmh:(2c#CA~_51ճw"l\dɚ&"(c]pZ'k1hE"5x5k 0ehQ1e4N1o,rJ#D@Z4M \PLa(A*25 Kzf )mIҩtF:261N2u I8,y$|#H:J*X /FH4U1O9yi6P~U*"( O0 !<2ic۱)穋K~9{) 4xƫN,ۥqB'4AWP:_#jkgBRøׄۄ}8inR?F=ٮ+R{q ]5vmh 

MS}^`M{ !Nh˯rH|77=xn(&Ŋ$j-C:#Kq*/jS~1%itl֛sF륨g]f_n"Bm CJ,FEUjπZ^ϹVv2)ܦkK`*GT;:.uj!Mc-ʯ~RH F-6mcoɿ>vJkaSbuQOR0>mUk=]NI6Hk&iX ,U."K:iTɱ\P} j}v9_GۂV0`ug+Hx\bgӴ&M@x*LQцVۈVq&{ LF%,lcIT }Aї Lf]APS] nŬ{R"53!V…"#Y LڊlԴ;iITZQ}vb~G'wԚ֨ ,(L[K$N*ZrъeДv"H '=6=m}Pγ7E$3|M ]~ZH˦ z0wlRg;0}a嶕^?ң͕ѽF'n7C}1U+Sp z;[L[ W?C ߊȑɢ1|BN O( 1&'BDw^1kgЛV)e]~}Rwmgb~C \a}ZiAN}73ٖ(+#1_Q]?A9{Cjuȳ o8H<%\cAxv ]u Nv0~T(0)USM@/.@{^1t@xMHZL8(SWWYn菡G) vaCUUhL(^Xv0iKTτ &ɶہnLF5eU~t.}gΛD<$p}NH[A!g\P8o4~pT%(OpOcϖqAA󲸣Ӻ%oiduQش~^Sjg/R!qPg*\.vz(-X-XLSte$:ȅjD7sA~/$= N+36$lN$rN5TH {m)hM@Tsy߃u1\,E>JٟEdSvp3O4xdϟOTMkH˟pi=+^?¯B߈ MŦ [lLճոT?e?A2Goz9`jXQSHc8|E^<_3)SqDcxXc :T KCaW%{Dt҃>(9y})34ޜ;PRgY[J֤J6Q7s؎R*kIZ+Z&,_@0SzI_p9R%;rNrP.ח]6L/r,K5T4~ rG_TKx؋hSS0_ͯ0n9IU]MW[ryX,fJU{v6=7\6q@\"=eo4\" eѺsG:\a쌴c.p`4dgkF9Osm/aܰԬc5CVPr{=+nDc?5P!~4ר($&}k )<_ >RmŌBr;vyu˷ggbSo<ۻ !s(".U(ZZW{Ei  iRh>FZ%ZZ tE{B ؊jPk~jZ~mӯ9( nc;U%N咊֝q܍ Tr4(<.v-9qBSߗ|+ F@WͅMo"ـoՁwNO]v嘿{Qhxm9A56QzdM|4i.~^J+]E2 &PmWq˳N<ՌЫ_]z OnvDk6*wdUn'34[dimh2o]22 ޷}>6G$"*'/ׇu._SN(Icy%|ڴ7Y/cb́{[SBO,ne}e^;k闇wa[Iw@׏i}5ipm]=KgJ>q%~[Jz$3}Eu;GWh9jaA.}7%˗g*;[=ufUsŵ|whG c~@b(7 e,%_Us& =/ɿnЂ~y4P897.hH<,~"{Dz,KBZSwΗf+c A#w ,鵷'8SSGYT<ƽYQud"G:* j/ ƙ2c{2쟠q4c2Џ{A?=Q1!UC!T3wؔUCE h=s#o'3rU endstream endobj 151 0 obj << /Annots [152 0 R 153 0 R 154 0 R 155 0 R 156 0 R 157 0 R 158 0 R 159 0 R 160 0 R 161 0 R 165 0 R] /Contents [166 0 R 163 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 1416 0 R /Resources 162 0 R /Rotate 0 /Type /Page >> endobj 152 0 obj << /A << /S /URI /URI (http://www.xilinx.com) >> /Border [0 0 0] /Rect [275.58 26.4 336.42 37.56] /Subtype /Link /Type /Annot >> endobj 153 0 obj << /Border [0 0 0] /Dest (G3.473173) /Rect [201.06 589.62 206.1 601.62] /Subtype /Link /Type /Annot >> endobj 154 0 obj << /Border [0 0 0] /Dest (G3.473273) /Rect [246.18 589.62 255.66 601.62] /Subtype /Link /Type /Annot >> endobj 155 0 obj << /Border [0 0 0] /Dest (G3.473173) /Rect [451.92 318.36 456.96 330.36] /Subtype /Link /Type /Annot >> endobj 156 0 obj << /Border [0 0 0] /Dest (G3.473143) /Rect [461.46 318.36 505.86 330.36] /Subtype /Link /Type /Annot >> endobj 157 0 obj << /Border [0 0 0] /Dest (G3.473143) /Rect [300.66 589.62 345.12 601.62] /Subtype /Link /Type /Annot >> endobj 158 0 obj << /Border [0 0 0] /Dest (G3.473183) /Rect [377.64 532.08 382.68 544.08] /Subtype /Link /Type /Annot >> endobj 159 0 obj << /Border [0 0 0] /Dest (G3.473143) /Rect [387.66 532.08 432.06 544.08] /Subtype /Link /Type /Annot >> endobj 160 0 obj << /Border [0 0 0] /Dest (G3.468640) /Rect [313.5 663.3 367.98 672.72] /Subtype /Link /Type /Annot >> endobj 161 0 obj << /Border [0 0 0] /Dest (G3.444748) /Rect [313.5 644.82 420.66 654.24] /Subtype /Link /Type /Annot >> endobj 162 0 obj << /ColorSpace << /Cs8 1435 0 R >> /ExtGState << /GS1 1436 0 R >> /Font << /F1 1437 0 R /F2 1438 0 R /F3 769 0 R /F4 772 0 R /F8 800 0 R /F9 797 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC10 792 0 R >> /XObject << /Iabc1689 164 0 R >> >> endobj 163 0 obj << /Length 18 >> stream q /Iabc1689 Do Q endstream endobj 164 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc1690 780 0 R /Gabc1691 782 0 R >> /Font << /Fabc1692 791 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U]hߟ}j]֠zfQNtD'W9F&Y:)WIkp:3ϳ-nQG>x|1$IjQ<<%C9% 32 GKB&K.҉ `FcU$!ëEWmG;!%=J‰pS;i z7} endstream endobj 165 0 obj << /A << /S /URI /Type /Action /URI (http://www.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG932&Title=Kintex-7%20FPGA%20KC7\ 24%20GTX%20Transceiver%20Characterization%20Board&releaseVersion=2.2&docPage=9) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 166 0 obj << /Filter /FlateDecode /Length 4115 >> stream xZ[Fv~["o֌l*O "sh/iV*/d7߀te7?oʬMUTYQ̺<&si_j\ EŬ&˫WE0U(6E@yO ,YSV\N_^|m5ܑoreyn_a1x%rBR|sIˬJnӪă]5I;ngWl1v߾b^#ZE R"yV$~oH>f^}} Qm 4Z`“UgyiҤqpVPVff3&ioU%ޙ]jwuqa|1B C/. >ޘzM_N%&17^SzY2ٰ97x%&+E~ـ+U2hu a,+XG4`Q^ ns0/^ܼac')^离!<~zn M]aw]?7;z_6tㄌzE4fyS 5P6!3iz xC;+ ςd9g`F-ΏqC$/ $+Nz7I,-rQ0 *tr4 fE6ݥ$T&{Q~%׿Jg\A7E=@U,ц'5m^ěܤw~XkgfE1tj\:u{B:P5Q+&#Ju<.J% Fэ}Q{ot-. Ng7eY]RGfǞٮJvLY #s%9YtNԇbM4BmNdQtI%4Ŷi/=>?}TG%`仿*=xJ/&lc#!K5ʌɄ,/Q2w@_E17?ڴZ-?OC4 )2_U'[eUN`Vb6l[n"WȊ i)6W7/.v>}>r,ڥ;$h~v~oQz|!Q K~IS,@S# '@/ڼz؟0ωinN,%BOWvlN_R-2hF6͓w'RTv2ior- rj4EE4?0 | S͐WHb%#I-[5?iZР-sݥA {k#m-{w,)1]zNڅ.?*d22 k6IF^Î2BкΎ>]tj;I)II]%9ߠx[^E$ާ [fdu|shvk<0~hbkX`O8P|A;ܮ:t0}dK+ y7l.!,Ep_֌A(drQ)u=^$!V%!aLa; v8nYOWV endstream endobj 167 0 obj << /Annots [168 0 R 169 0 R 174 0 R] /Contents [175 0 R 172 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 1416 0 R /Resources 170 0 R /Rotate 0 /Type /Page >> endobj 168 0 obj << /A << /S /URI /URI (http://www.xilinx.com) >> /Border [0 0 0] /Rect [275.58 26.4 336.42 37.56] /Subtype /Link /Type /Annot >> endobj 169 0 obj << /Border [0 0 0] /Dest (G3.445884) /Rect [162 688.08 206.4 700.08] /Subtype /Link /Type /Annot >> endobj 170 0 obj << /ColorSpace << /Cs8 1435 0 R >> /ExtGState << /GS1 1436 0 R /GS2 803 0 R >> /Font << /F1 1437 0 R /F3 769 0 R /F4 772 0 R /F8 800 0 R /F9 797 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC11 792 0 R /MC12 171 0 R >> /XObject << /Iabc1708 173 0 R >> >> endobj 171 0 obj << /Metadata 176 0 R >> endobj 172 0 obj << /Length 18 >> stream q /Iabc1708 Do Q endstream endobj 173 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 108 14] /Resources << /ExtGState << /Gabc1709 780 0 R /Gabc1710 782 0 R >> /Font << /Fabc1711 791 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫@J>)W^.P$MZ\1h!sw4_PE1qdbE0EH(.X*w197JIx5s剢xy"q-lb@r2dv"& g5kDN 9]%8 sqE fѯG$DS3Ră;6+IriK[Zߖj?'=ʡ|~72ْԑJ_%+VK2ufg[XLZ;/d"Xl˒5_@ʧ9 ?d#98` dT:I*dx)UD=ΡLH9vhwe™piE;i z7} endstream endobj 174 0 obj << /A << /S /URI /Type /Action /URI (http://www.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG932&Title=Kintex-7%20FPGA%20KC7\ 24%20GTX%20Transceiver%20Characterization%20Board&releaseVersion=2.2&docPage=10) >> /Border [0 0 0] /Rect [108 14 194 36] /Subtype /Link /Type /Annot >> endobj 175 0 obj << /Filter /FlateDecode /Length 4188 >> stream x[[7~wU[B˾!.!")jb LvfL<3!_9Rm{nH-sӹH_Lx3)ML)AHmBsnyZ %ILʜWNhj,ZCA4$)wg;bzK,<dJ@lj:`3 ȋӠoGG֣/O?;|5dt htbMͿ"ăb lja>t楷8mo.md!vacYpu8?_{x~<wv:i S16p`{ [#~l~38˟Ӗ)9.LmiIolVBy̞ec ~=CEX̩X %n,Bw @ )](A?@)"YF{M H6CĹOgUM[nO_ѿGxbU0c<7GS']*W#1Pp Azh)XH̀ آ#୥t22;Yνdqz.<<;|[c@,ZxPΓ1x::Yb%1?#;bd/gs@p/3 _FOxdbY)u=+tz  ͐ϮcWPNA]P_InP.>.ƐVx5r8÷`##<% ҀȫeB 5[KJxr.#@Î1ѓ@ߦ_PՂ ߄l&kQc尖5GHk5 BW6jrt4XӠ>*yT`]L*c Ҿvqq,ݎ!ś؁I?dNjߣa2q܄ -l ?FW&ikh@g!KT5B<ŸuRxZsN̴l4؜)׳G0 Y.[J:"u:3 -5A)ѥ}\$"@1DHWL'j VZFqj32o;:x2 ljjB݀g{i Co:ȘhZkǽ2ҨV&N4P( ʹ`-&n A.&,AX HB4O zRۤ!C U0!KeaMUb2ʃL9V0%;YTm: % /PqV8xAlj:4Dd 26J"HZf4E"#1qST+"nF\TޘN\hºqivz$RD˜"GAH l?2HCja\$`e;4,EJL&ux:$Z(9r_ꨀjM!$ꉕ9F1- \|^d]р9[~pM:UIْ4od"(bm#J$qzQ-Ʈ[EkLEuxS!ZZX(#,o^Vy;ՔJXX=s!Uߕ֋H82!zqK@:<$qTQSkG?|%O*mQ7 V1W s= BV^-Yf!>ҬaltX^ET\>ug\-T%]]T3͙8mM+^R]{wWzX;bx|1?]b7rXspDQjfQ( )}\:AAolR<ԱE韗)*ԛ&e0L04v mygG?pktH7S )ԲU'Z=`ӱWa  zit`sH艿>%532{Ih#|GS1$ ȓcV'".Q%Zh]0B;>hz|Ȅ iGlA!κ|\ěQ NP*(< n /]oݪfY&6tnjawjxRmqRk)r :}슦SÍy9/BކycLAREh"ߠ vŋ:uTZ)l+oJbWHli.6Iơћ|DZFY.D0>˖J>=?8rۛg?X:(B+'bF*XF">!!%!0 %@iUa5UC^ɵioͧ\Օ!M #4WO֩a} "l3!`LPufN蠢B׮vcC9OLYְ16WG}KKx$ J|Hp\o|Ou>둗|ۿ= P|alt s?t"6\7DUxPVA*sV{HWpK?I*! ֭Sw|^z&5v񆢈6`/}s<=_-5 .mهeltip޿yF"V aF;iY[n9>e:WM5O9R7ԔgzljrܲXK{0GؗF})NF) wH1_UvL0Y| trKQ)hL`Q&: - #I:x,#[In>۹^ (O|CRe:!*I,E5E'JH@5s9' t_JFˤcIW`;]@ЇCy } j-=8k_c 7|yl㮽o8qm`O:2J_ˎO7egp7Ieթ|4 k4AuDN,jB#*|Oo_*:( NA]]*xRk_g|w,DoEK{iaCʻ˱PqTaLJ.HŠ v$VujtWn~:{DVi ugme{hjN{x`TP22|%ycsO6 AqI?@kK7O5HNTa9 'EGդ9y܍Xf.K^5VAc*vvmZ:_1ۄgP' 5W&ސP u)g?:GHa'3 T{ }"oD$KIyV**O# BiߪK>~U*H)eJTpժT~"''no\#G cTl-D={rAsv'Y[C‘~z&88f?'!Htb?6 endstream endobj 176 0 obj << /Length 35940 /Subtype /XML /Type /Metadata >> stream application/postscript For use with FigureWide tables used in Xilinx FrameMaker document templates. Graphic_Template_FigureWide_011110 Adobe Illustrator CS3 2010-03-04T17:28:20-08:00 2010-09-27T16:42:26-07:00 2010-09-27T16:42:26-07:00 256 236 JPEG /9j/4AAQSkZJRgABAgEASABIAAD/7QAsUGhvdG9zaG9wIDMuMAA4QklNA+0AAAAAABAASAAAAAEA AQBIAAAAAQAB/+4ADkFkb2JlAGTAAAAAAf/bAIQABgQEBAUEBgUFBgkGBQYJCwgGBggLDAoKCwoK DBAMDAwMDAwQDA4PEA8ODBMTFBQTExwbGxscHx8fHx8fHx8fHwEHBwcNDA0YEBAYGhURFRofHx8f Hx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8f/8AAEQgA7AEAAwER AAIRAQMRAf/EAaIAAAAHAQEBAQEAAAAAAAAAAAQFAwIGAQAHCAkKCwEAAgIDAQEBAQEAAAAAAAAA AQACAwQFBgcICQoLEAACAQMDAgQCBgcDBAIGAnMBAgMRBAAFIRIxQVEGE2EicYEUMpGhBxWxQiPB UtHhMxZi8CRygvElQzRTkqKyY3PCNUQnk6OzNhdUZHTD0uIIJoMJChgZhJRFRqS0VtNVKBry4/PE 1OT0ZXWFlaW1xdXl9WZ2hpamtsbW5vY3R1dnd4eXp7fH1+f3OEhYaHiImKi4yNjo+Ck5SVlpeYmZ qbnJ2en5KjpKWmp6ipqqusra6voRAAICAQIDBQUEBQYECAMDbQEAAhEDBCESMUEFURNhIgZxgZEy obHwFMHR4SNCFVJicvEzJDRDghaSUyWiY7LCB3PSNeJEgxdUkwgJChgZJjZFGidkdFU38qOzwygp 0+PzhJSktMTU5PRldYWVpbXF1eX1RlZmdoaWprbG1ub2R1dnd4eXp7fH1+f3OEhYaHiImKi4yNjo +DlJWWl5iZmpucnZ6fkqOkpaanqKmqq6ytrq+v/aAAwDAQACEQMRAD8A7n59/LQ+a9X0vV4dXn0n UNHt7uKxlt139S8VEZnkVo5wnBWUiGSNjXZxTFWETflX5hsvNiXl9+beqyW5na4uNBeWVGkgkP8A doILmNoxQU5JHt1AGKqdx+Wd+NR1N/L/AJ3TSZNd1b9Iz6e0d5YSOGuGkZCY7yCeR+MsgfjxL0QV jVcVTjyJ+U3njQfNOn65q/m2bUkWBl1OzWa8McsqwiKFW+tTXTTIGkllqWQK9OKb/Cq9ZxV2KuxV 2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KpNdTXOqX02m2kz W9na8V1C7iNJDIwD/V4j1Q8GDO43AIC/FUoqpx655J0axuGXUtOsbKzI+uytcQxpG7zPbVndmFGa eGSOrmpdWH2gcVU387/l9eGKxfX9JuDfskMFq13bP67TnjGiIXPMyEUUAb9sVQttrGlabfNHpupQ XulJI0N7YxzrNJYSIUDsoBZliQzRiWNtowysOK7YqyvFXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXY q7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYqw6XyXpPmfylpsWoF45JUlvTPCsJcT6jbTRXBAlSZ KEXj0BUjpWo2Kry6CH8otPi8zeTGXUb+xgjWS+nguoC8kXlu1t5ZYP3ZtpIS0rvzCihkaQ80rQKs dtrD8lPLGk6Z5vsrTX4oL2c3EFv9ZgWYw6bJHcCYQo5hkiS5EcXCVwCW5UNEOKvavKf5W+UdM1nU fNFkbqWfzFDKbyC5dGhKXjJK49NVAB+AL16bGtBRVlPl6WZ9GtVnYvcQKbaeRjUtLbsYZGJ92QnF UxxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxVKvLDFNI isX2n0z/AEGYHrWABUcj/iyPjIPZhirF5Na/NuPzPqiHQreXy3bIz6e0BhF1cmpVEjklvFUNQhyZ YUUEFN9pMVQtpqH55Q3Om2txp2nXNuPSXUr+iqxHK19RlVbpfj4yXI2j41QNQAhCq9A1C+gsLKe8 nJ9G3RpHCirEKK0Ud2PQDucVUNDtJrTSbWG4AF0Iw11Tces/xykH3djirxjUvy51SfQfKN/5Q8ta HOiaVHPq0d5ZWD/WZWW3KqVlhEssjRmYqBcQDlQvJTYqpPp/lj84ZdSs4b38qvJltp88yJdXi2Vp I8ELEBpGhF4ORXc8Vc+Ar3VTS98hecrbWZYdM8i6HdWNzeTqbq/03SJobeD61xgaBLefT5/SFowd vU9SQyBloooWVQGpeSPzRlt/Stfy98s2zyysRNDYaZJJFHu4BeafgKVWMUhcnckrsSqyXyb5I8wX eiRXXmn8vvK2nar9et4ptOt9PtXH1IycbiZZVnlUMEYOo3+yRRuQ4qs//wCVaflx/wBSpo//AHD7 X/qnirv+Vaflx/1Kmj/9w+1/6p4q7/lWn5cf9Spo/wD3D7X/AKp4qxX8w/y4so9KhPk3yfo02ou8 0bqbDTgqc7WZYJX+sKo9OO59Jn4Vbj0VumKqvl3ytaWEfknUtQ8s6ToHmmW6ddTj0y3gjEbtp14X jWSPkSuwqObD3PXFXpOKuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KpVqNhfR3g1TSypuu Iju7SQ8Y7mNalRzofTkTkeLUofst2ZVXJ5n0hRxvZf0ZN0MF9S3avcKzH05PnGzD3xVx80+XiP3N /DdyHdYbRvrMpr4Rw+o5+7FViWl7ql1Fc6hEbaxtmEtrp7EM7yqQUmnKkr8B3SMEgGjE8qBVU4xV 4pquhW/m3ytomnw3V5bCy0eHT9QNvp8t2C09vZ3kXpyB40VkMcZaobkpK/Cd8VQB/LTUoLye90bV bvQruYIFm0zQJrYoizW8pgXhcD/RlFrxjhOyh3qWriq3XPy885axpsemz+dNfW0Ftb2c8X6KupFm ijjmjufWWW5kEpuPXqTJyI4r9qgoqi9P/K3RdLvDcaet86veQXTRXmhxShUgiSNfSMKW3CZCjGF9 44QxEcSitVXq3+K4P+rbqX/SHN/TFXf4rg/6tupf9Ic39MVd/iuD/q26l/0hzf0xV3+K4P8Aq26l /wBIc39MVd/iuD/q26l/0hzf0xVLLzX7PUvMGhWkcVxBcWt+JZYrmF4Twn0/UFRhzArUwt08MVZd irsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVaYkKSAWIFQopU+wrQYqwD8vfM+rnyF5c CeVdVdV0yzVZA+mqGCwIOSh71Ho1KjkoNO2Ksg/xNrX/AFKeq/8AI3Sv+y7FXf4m1r/qU9V/5G6V /wBl2KvK7Ty1b6/o9mG8yweXbjTIFvUlZVNzGv8Ah+wha7hZpohELf1avJxalRunUqpPofkPRLxC 7/m3c6lZxS251G7uJbmD163skdsFuvrcUbO8tnLHG3xnjR0ofTfFUz8v+Xbqw86aDqUH5owapo10 Zmv9Nur+aBpWvYnjtBb2XryQyGWbmSHVd1JWrVoqk48gJ5fsLQeWvzbtbKyv9PibTtOubldOtnjV 1kn1BFtJouZVY3NFReXSV2UPyVTmw/KfWvMmpS6/on5sG/tmnaS7h031ntPrZUBjW11EFGEZCU58 glBy6HFXrXkfy1f+XfL8Om6hq1xrl6rF59RunmZ3JoAAJpbhkUKoFOfudySVU+xV2KsZ89+TpvNN ja2sV8LAwSyNK5iM3OGe2ltpUUCSLi/CclHNQCN1bFWFaVo+s+VNY0PTL29vPNupWQtBJeuUW6uf Uj1xwSbqfj8CuB8UvRdvDFWe/wCI9Y/6lXVP+Rul/wDZbirv8R6x/wBSrqn/ACN0v/stxV3+I9Y/ 6lXVP+Rul/8AZbirv8R6x/1Kuqf8jdL/AOy3FXf4j1j/AKlXVP8Akbpf/Zbirv8AEesf9Srqn/I3 S/8AstxV3+I9Y/6lXVP+Rul/9luKu/xHrH/Uq6p/yN0v/stxV3+I9Y/6lXVP+Rul/wDZbirv8R6x /wBSrqn/ACN0v/stxV3+I9Y/6lXVP+Rul/8AZbirv8R6x/1Kuqf8jdL/AOy3FXf4j1j/AKlXVP8A kbpf/Zbirzvzd5E1PX/MF5rKaLfxm5hjRdPu4NKvLMzVRbiWeL9JQ+t6sVrbKFLDi0StU9MVY/qn 5N65fwWsYg1S0ks5rmRbi2ttJjlnF1EsLtdt+kz688kYZZpTTmDsqUxVnFtd3vkPyDqkek+VLq3T T4tR1CCq6bFbIzvLcoHit71n4Rhgh4fEVXYdsVS/8vvzj86eZBGL3yFqEcT0pf2xVYG8SPrZtloP aRsVetYq7FWP/l5/ygHln/tlWP8A1DJirIMVdirxbSpPLKaMlprkVtcfW7C1VR+lILKVbe60uxjm jdDPDIvNrUH5Up1xV0mn/lHJpV7pT6PZGy1G6iv75f05b+pNdQKFSaSX636rP8PJjy+JiWarMSVV t9pv5TX4QXWmwP6SQxw8fMSIY0t+YiWMperwCeq1ONPwFFXahpf5Pahp8em3Oh6ebGEs0FtHrVtC kfJ7iTjGIrpOChr6biq7KGoAAqgKpv5O1ryF5N0x9L8uWdpY2MknrPCdatZ/3nBY68p7mVh8Eail e2Kp9/ytLSv+XP8A7imnf9VsVd/ytLSv+XP/ALimnf8AVbFXf8rS0r/lz/7imnf9VsVd/wArS0r/ AJc/+4pp3/VbFUvs9Xi1rztp+pQtbmL17a24QXVvdMGistWclvQeQKCJhSvWh8MVejYq7FXYq7FX Yq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FWmZVUsxoqipPsMVef/AJd/mF5LbyD5cA1aEGPTbSJw eQIeOFUcEEdmUjFWQ/4/8m/9XaD7z/TFXf4/8m/9XaD7z/TFXm+kaH571XQ7J/KWpRabJaejJLNN NMiGV/L9ilvygjjdLhFduRWRgo60bsqhdI8r/nrfTG2n/MKwvJlkjOpvYSxLJEsV00fJYRZyKDW3 miK1RS6HnzCvGVUz0LSvz8tvN+kPf65b6t5UvfrUmoNaNaiKAGEtB8Ulul04klYcPTc8ab0WgxVK rfyn/wA5H6HZKuk+ZbXWrE20CwR+r6k7XTyIZJ2uNRjum9FULcv3jllHwIhYFFUXdeUf+clpNbe8 t/NGm2+mzSGd7BJixjcjj6cckunSjgqbCqceXx8OVaqvS/I9h5tsvL8MXmzUU1PXCxa4niEYiA2C pH6cFrUUFSSleRPagCqfYq7FXn/mXy7+aUvmaS88u6vBbabI6SKs9xJVATaJLF9Wa2uIivp20zKw YNylNOO7FVAaJB5qg806HF5ruLa619fq312ezr9XasOumP0+SRGgjKjdfv6lV6firsVdirsVdirs VdirsVdirsVdirsVdiryR/z5kj5+vokVo0Uktuy3V60Jea2VY7r0QbY+pFa3QlS4kNOESerQ14Yq 7U/+cifL2nEwz2yCdLcTGc3HCxll+pveNFbXTxKJqKbcV4qSJ4zx3pirOPK3nS01ryXH5qu4hpdo Y7ia5hlfk1vHbSSI4nPFOMiCI+qlPgaq1NKlVNNI1/Q9Zg+saRqFtqEPeS1lSYD5lCaYqj8VdirH /wAvP+UA8s/9sqx/6hkxVkGKuxV4xo/l7QNT0GWLWYtUge90uOwS5sbC4nD2WoaRp0c/CVba4T7V rxFD8Jr36KtR/ld+W0flufQIpfMkdvPLYTfWVsLwTp+jEC26Rn6jwVOXKRhw3d2O1cVROofl/wDl 9dWVjbQyeYLCTTvqn1W7tNLuEmU2DXL27VbT3UspvX+LjXYd61VQWr/lJ+Vep6ZZadIPMUEOmxPb afLFYXxmhtne5b6ukstlI3phb0pRiSVRORJUkqsk/LXRPJn5e6HNo2i/pu4tZ5zcu95pl40nMxpG d4bOEUpGDuOtT3xVlv8AjDSf+WfUv+4VqX/ZPirv8YaT/wAs+pf9wrUv+yfFXf4w0n/ln1L/ALhW pf8AZPirv8YaT/yz6l/3CtS/7J8VYpqqWev+dbEyW94mnyyWcPOaG8sC0kVpq8jKjSLA5480J4nv v1xVk3+A/LX++7r/AKTr3/qtirv8B+Wv993X/Sde/wDVbFXf4D8tf77uv+k69/6rYq7/AAH5a/33 df8ASde/9VsVd/gPy1/vu6/6Tr3/AKrYq7/Aflr/AH3df9J17/1WxV3+A/LX++7r/pOvf+q2Ku/w H5a/33df9J17/wBVsVd/gPy1/vu6/wCk69/6rYq7/Aflr/fd1/0nXv8A1WxV3+A/LX++7r/pOvf+ q2Ku/wAB+Wv993X/AEnXv/VbFXf4D8tf77uv+k69/wCq2Ku/wH5a/wB93X/Sde/9VsVd/gPy1/vu 6/6Tr3/qtiqE1f8ALPyzqWk3unH61CLyCW3MovLtynqoU5BXlZGpWtGBHjirHvK//OPH5a+X5Y7m K2ub2+iNUu7i5kVwfZYDCn/C4q9MxV2Ksf8Ay7YN+X/lkqag6VY0I/5hkxVkGKuxV4CupeYbK20q XT9EudftTbJ9bt7cXzSD6vomnzQQxSQSJBb+o8knxSK3I/ZUmuKpfH578/ylv+QV6jbyO0bQwS3O sNSNWHqo00cDR82WpRjxC9wx+Eqp7pXm+aTzJ5e8vaz5NudLu/MCXTW8sl/fgj6pCzvSBo1+Lkm6 +p8KMjciW4hVjH/KzvNWjT6dp/mX8ur361qEtvbw3wutRsIHubsKY7WNG+vo01CdhOenxcGDKqqa XfnzzLDrUlrb/lnrFxprO0ltfu2tRD0KcVWREgnkVy3xn4ahfh4ctyq9T8raHY6vo0V/qWjXOj3U kky/UJby6eREjlaNGclo93VQ9ACN9ieuKpt/gzy9/vmb/pKuf+qmKu/wZ5e/3zN/0lXP/VTFWMef tLfRdPtptB0ifUrq4kkgEIk1CYCQ20r2/P0JCY42uEjR5G+FQdyOuKpL5K1G81LWNBvrvR7ry9Pd vBNLod5NPNJA4h1uGp9dY2BkjhRvsDanXrir1zFXYq7FXYq7FXYq7FXYq7FXYq7FXYqxvz3q/mrS 9MtJvLenrqN3PdpazRMjyemlwjxRzlUaM8IrlonmNdog/TqFXnN3+Zv5vxaXNcxaA891HawTJaro WpAtLLyM6Ctz/wAenER8esxPNKJiqhb/AJvfnOdEluJfIV0dQnjnuLKMWU6CGOjxWyTR+tI0jtct CHAaNxHzfgEXlir0byj5v1C98ky+Z/NGnyaAIze3M1ncRSRzQWUEshhaaMl39T6sqs9OrVoB0xVM dA86+UfMKg6JrFpfsRX0oZVMg7/FHXmv0jFU6xVplVlKsAysKMp3BB7HFWAfl7+XvkI+Q/Ljv5c0 ySSTTLSSSSSzgd2d4EZmZmQsSWJJJxVkH/KvPIH/AFLOlf8ASDbf80Yq7/lXnkD/AKlnSv8ApBtv +aMVYf5ItPNEuhRPoF5DbGP6ql1DcAGJ1k0CyWJ9o3flDNxYKGUMKg9qKqUnk787k03V57bzRb/4 guba3GlSTOz28Fy0kK3nqR/V/QZfq9onpstuP3kkrBI+eKovUvL/AOdqaJoiaPr1mNVtbYx6wt26 NDPcLy9NxL9QklKEkeovFCVFFZWq5Vak8sfnatnpUUPm229exCQ30voQhb1UnmrNIsltO8cklv6F VR6Bue5+Fiqmn5aaX+a9it8fzA1ey1R5I7ZbAWKqqxvGri4ZqW1qayng3UjqAF7qs3xV2KuxV2Ks B8yafYah+YNlaX9tFeWkn1D1LedFljbjba0wqjgqaEVxVkH+AfIn/UuaX/0hW/8AzRirv8A+RP8A qXNL/wCkK3/5oxV3+AfIn/UuaX/0hW//ADRirv8AAPkT/qXNL/6Qrf8A5oxV3+AfIn/UuaX/ANIV v/zRirv8A+RP+pc0v/pCt/8AmjFXf4B8if8AUuaX/wBIVv8A80Yq7/APkT/qXNL/AOkK3/5oxV3+ AfIn/UuaX/0hW/8AzRirv8A+RP8AqXNL/wCkK3/5oxV3+AfIn/UuaX/0hW//ADRirv8AAPkT/qXN L/6Qrf8A5oxV3+AfIn/UuaX/ANIVv/zRirv8A+RP+pc0v/pCt/8AmjFXf4B8if8AUuaX/wBIVv8A 80YqhtU/LLyHqGmXdg2hWFst3DJAbi3tbeOaMSoU5xP6Z4utaqabHFUn8u/kP+VuhFJINFjvLhCC Li+JuWqOh4vWMfQoxVn+KuxVj/5ef8oB5Z/7ZVj/ANQyYqyDFXYq8Cj/ADX0byHpdrDqVncXltqk dp9Z+pzst2gi0Ww9L6tbgr6hdi3Ng6BAPiJJVSqmdx/zkF5YgtLG8i0bVZk9GO6tYfrkKTNBJdSW 7GSCW5SSV0ERajKwr8JZaglVG2n53eVL2Ga3j0/U47+8s7rUo7eW6VA62cUs59JluGnjjZ7eSMFI gAV+IAMnJVLl/wCcjvJ9jbW8+vaVq1jf2hEM0Mckxt1U3Fzbo7Petp7SGlqWb1YQ+9KEhqKqb/8A OSX5fxwx28lnqdL51nUi8RD6EssscMiTz3MCpUwAunNVUNsW3xV6p5V1bTvMWnW+v2cV5bxM00EM V1I6EiCV4C7QrI6fEUJBccvGhxVMv0Ta/Vfq3O49Plz5fWbj1K9P731PUp7cqYqqGxhNw9xyl9R1 4MomlCUpTaPlwU+4FcVWfou29CODnccIm5qfrM/Mn/KfnzYezEjFWJanGq/mdYsC1XNiWqxI2tNa GwJovTtirOMVdirsVdirsVdirsVdirsVdirsVdiqVTebfKsEqwzazYxTPKLdI3uYVYzNLJAsYBav My28sYXryRh1U4qqWvmXy5d2cV7aarZ3FnOxSC5iuInidhIIiqOrFWPqME2P2jTrirSeZvLb2Muo Jq1m1hAyxz3YuIjCjuquitJy4qWSRGAJ3DA9xiqJ07UtO1Oyiv8ATbqG+sZxyguraRZYnANKo6Fl YVHY4qicVdirTDkpFSKilR1HyxV5/wDl15RuF8g+XKeYdWo2m2j0M0J484VbiKwk8VrRfbFWQ/4T uf8AqYdV/wCRsH/VHFXf4Tuf+ph1X/kbB/1RxVg3lK887Wnly6fy3YwX5GnxtFFNxDHUV0bTPqSs zTwD0n5Sep322YdCqvsdT/5yCt9AvL650m0vdaMtgltpbvbJH6cjPPfyK6TJx9ITrbxh5WNIQ/xF iWVRF9N+bVsulaqnlrTtX1i3itYruThbxXapI9x+kI4Znu0RQwitynarElT0RVAeYpPzyn0nR3Pl XQtZ1PTyJriG5CSKNQha6SK9s5JLiERACOF1qnOkpFUKsAqzD8tdS/MbUNDmm8/aXbaRrAnKw21m VaMwemhDErcXe/MuPtDYDbFWW4q7FXYq7FWAeZW1FfzBsjpyQyXn+gemlwzJGf8ARta5cmRXb7Na bYqn/rfmB/yyaT/0k3P/AFQxV3rfmB/yyaT/ANJNz/1QxV3rfmB/yyaT/wBJNz/1QxV3rfmB/wAs mk/9JNz/ANUMVd635gf8smk/9JNz/wBUMVd635gf8smk/wDSTc/9UMVd635gf8smk/8ASTc/9UMV d635gf8ALJpP/STc/wDVDFXet+YH/LJpP/STc/8AVDFXet+YH/LJpP8A0k3P/VDFXet+YH/LJpP/ AEk3P/VDFXet+YH/ACyaT/0k3P8A1QxVg2pflLrV/qepaiVt7afV5OV+sN9KFe3aMRy2i87NykMr BpG4EPzdirrWmKoO9/JjzReXdpfz6m51SzSOOG/F+A3CKY3HFof0f9WIaQQlv3X+6Y6U+Pmq3F+T nma28lyeS7WSzXQLmf6zeerczPdFz6bN6MyW8Sx85Y2kasbbsePFQqhVkE2k/mTo3knU7DTBa3Wo fV76eK8+sO15Jd3JkmMiqlrBB6hlkqqhVXoNsVSjyB/0Meqp/iQaS1sKcxeml1T/ACTZAxf8Fir1 vFXYqx/8vP8AlAPLP/bKsf8AqGTFWQYq7FXm9h5Jns7aOGLzJaKyxQRyvEdRtxIbeCO3R2jg1WOM N6cKg0UdMVRP+F73/qaIf+R+r/8AeXxV3+F73/qaIf8Akfq//eXxV3+F73/qaIf+R+r/APeXxV3+ F73/AKmiH/kfq/8A3l8Vd/he9/6miH/kfq//AHl8Vd/he9/6miH/AJH6v/3l8Vd/he9/6miH/kfq /wD3l8Vd/he9/wCpoh/5H6v/AN5fFVfRvKbw69Z3761bXbwyiSSNReSzSiO3uYY0El1f3nBU+uO/ wpvirOMVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVY/+Xn/KAeWf+2VY/wDUMmKs gxV2KvDte8lG78ueUNT0zWtM8tyWOjRzzC6KxC4kYWtC4blb8acozJPBMELikZYghVIPLXlf81dW 1q0t4fPXlLU7SsVzf2mnQ6dLdiyYqC8ZWwZWr+y5RVJ8OyrI9a/LXzvp99d6ha6ppWkaGbm5udTv LiVJSlqbkypKI7+xvILcQ2hMfpRNGnJebM1aKqxi70DzLqcK2tv598qss9xEJFtrmzhKtdhpbeJG jtHnYzH+6VZU+Bdg4NAqzfy9+Xf5o2+jWCa5faLe6wuq20moyQ2trHAdKjLG4jjA08MZpQwH7NKC jLvyVel/4Z8t/wDVqs/+keL/AJpxV3+GfLf/AFarP/pHi/5pxV3+GfLf/Vqs/wDpHi/5pxVgev8A 5beZ5vMN7d6FJptnYyosln60Yk4Sl7NXia1aCSL0/StZyHD8uUpAC/bxVH6N5dvtKj8pDWo7STXV 1O6E93axxCsbWd80SmSK3s1YrHwDEQoCR074qz/FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FX Yq7FXYq7FWP/AJef8oB5Z/7ZVj/1DJirIMVdirxO9t/K3mTRfLei6kmoC5TS4tNjgtLrTLb64ZbO zvGSNbyZJ3aA+jJWICh2bku2KrNZ/LzRdTuLo6gfMhuXuInuCL3QI3S8mmt5Vf4ZAUkmktIQq9Nq Iq1xVC3n5I+XdTsrMPaeajaWsFrDaPBe6JGqwWscqBUaOQBUlW5kMnGla7UxVOvL/lzyzdp+l/K1 prktrPdG4kuLLVNMvoJfgjjmh5TXlyv730VMrqRMzdZMVZ6vm65eRo10G+aRJPQdBPpZIl4CT0yP rv2+B5cetN8VV/8AEGrf9SzqX/IzTf8AssxV3+INW/6lnUv+Rmm/9lmKu/xBq3/Us6l/yM03/ssx VD3vnKWwQSX2h3tqjcuLz3Glxg8EMjULXo+yilj7AnFUHd661/5k0Oxm0+50+6tb5Z3juTbtyjuN P1BUZTbyzjrA1akYqy/FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq0xIUkDkQNl8fb FXn/AOXWveaT5B8uBvLEw46baKpF3aEMqwqFcVcH4lAahFRXFWQ/p7zN/wBSzP8A9JVp/wBVMVd+ nvM3/Usz/wDSVaf9VMVeV2flbyfr2kWQ8xX97YMLcwwfUxxEkcvl6we5V5hDKVKxxc1UOvLidmpQ KsJs/IH/ADjatle3a6prC6Bp1vHc3d7NFbyQmC4Nv9Wk4tavefvZLnghCDeKQGij4lWUWnln8pvL +u+TfM9vrV5p1oltdXSW95p8sj3UdxDInrvcRwqsHoJvK7A/u1TkVShKrGdV/Lj8hrq58t65Z+ad Tgj1AWUkIuLWfUI5bNWMXpeqsHK3nkkt2AeWQ8OLBV4qvFVkXl38oPyV8/6td6vovmbVtVvbeOGS +leO3EbfXA0qO6XNgsTs/FiyBaD7LKAaYq9w8reWdN8taNFpGm8zaxSTS85SGkZ7iVpnZ2AXkeUh 3O/jXFU2xV2KpF5u8n6d5otba2vp54I7aVpa2xjUyJJDJbywv6iSfBJFMynjRh1VgcVYRHpXlzyP 5h0bToGSy0jTksV9VwkYLSQa2WkcRrHGGklcsQiqtTsANsVZp/ysHyV/1ebX/g8Vd/ysHyV/1ebX /g8Vd/ysHyV/1ebX/g8Vd/ysHyV/1ebX/g8Vd/ysHyV/1ebX/g8Vd/ysHyV/1ebX/g8Vd/ysHyV/ 1ebX/g8Vd/ysHyV/1ebX/g8Vd/ysHyV/1ebX/g8Vd/ysHyV/1ebX/g8Vd/ysHyV/1ebX/g8Vd/ys HyV/1ebX/g8VY3598+o+hV8oa9aR6v6ypR3h4+lOGgaQ+srL/o/qi549W9PjuGKlVhE/5h+fjLKI 9WYDlLxIl0X0+X+7ONV5+lwp9T5fH6tfrFI6Yqm2q/mhrreWtOttF1K2k8zRB/0sbpooEEb20vot 63pPayTLIYiyQngXqKqlSFU48sfmSmj/AJdJeecNatdT8y2drcXV7HbSRFpCpeWOFTHHBEX9Pinw oBy8ftFV3lb/AJyJ/LTzBLHbrdT6feSfZt7uBgSf9eL1Y/vYYq9NxV2Ksf8Ay8/5QDyz/wBsqx/6 hkxVkGKuxV51+XNppt35cnW/09b5Lb9HSxpJCs3xHRbFaxhwfipUH54qyQ+W/KElimnP5btTYXsM VvNaNZQGEQ2rF4Ipo+PHhEzs0akUUk0xVTvPK/kq705bG88rWlzYaZWO0spbCCSJVZqH6vGylQvc 8QMVRMmieWZpYY5dBgk9cm4LvaRFUkd5JmZyRs5lmkcn+Z2PVjiqzRNH8s6Db3Mmh+X4tKDssc0V jZxW7ShCeB4xBeSrzalelTiqbi8rcpB6Eo5ry9Ur8A2rQtXriqn9fuvqvrfo649Tlx+rcrf1KfzV 9X06f7KvtiqobmYXDxfVJTGq8luAYuDGn2QOfOvzUD3xVZ9dufQjk/R9xzduLQ8oOaD+Zj6vCn+q xPtirEtTdj+Z1ipRlCmxCsaUatprRqKEn23pirOMVdirsVdirsVdirsVdirsVdirsVdirsVdirsV Ub6ytb6yuLG8iWe0uo3huIX3V45FKup9mU0xVD6ToOiaPB9X0nT7bT4aU9O2iSIGniEAriqOxVpm CqWNaAVNASdvADfFWAfl5560Q+QfLgEGptw0y0Qsmk6m6kpAqni6W7KwqNipIPbFWQf440X/AJZt V/7g+q/9k2Ku/wAcaL/yzar/ANwfVf8AsmxV5Ra+W/zQ1jTLC58ja1Bo0lmtqJZbmWX0yZtE09av aCKWGcgD4PV2SpPEkgqqjR5d/OnUoRa2Xnqyk1KCGNr+W1uYiqXUV9cBmNubGZgjGFoePqKtUdGV gPhVRtjon55Ge3sJddivtJu9MvBLqsMtuqRXTwyRWoUrbpdN8RilWVX2Pqcq1SiqV3Xlj/nI7SNO s7by95jtNWtZLmNY5CwZ44ZZ7mV5pp9RF7M0CxyQrRZJZKABOhLKop/KH/OSam39LzRpwReM1wol If1mlmaZUeXT7hfTMcqKoZKLxHFVxV6j5WtPMNro0UPmG9TUNW9SZprmJFjjKtKzRKiqkdAkRVdw TtuT1xVNsVdirsVYTqv/AJMzT/8Aoy/6hdaxVm2KuxV2KuxV2KuxV2KuxV2KuxV2KuxVIvOXnDTf KWkfpXUop5bXmYz9XVGYP6TvGtHePeV0EKAdZHUbAkhVjsv5zaBGWrpmoMihHDj6nRo52MdpIAbk NS6lBjjBHIHeQIvxYqr69+cPlLQdF0/VtTE8EeppK1tbn0RMZYJo4JIKGUK0qvN0RmBCsQaDdVPf Jnm3TvNvl2317TkkjtLl540SVoXYNbzPA/x28k8LAtGSrJIwIpiqd4q7FXYqx/8ALz/lAPLP/bKs f+oZMVZBirsVeI6VpHlvUdBki1cXsbX2lpYJc2MaPys7/StPhuAHZJaNztCvtv7Yqsj/AC8/LOPy 3PoEU2vR288thN9ZVFE6foxAtukZ9HgqcuUjDhu7sdq4qidQ8mfl3dWVjbQya1YSad9U+q3dpbwp MpsGuXt2q1u6llN6/wAXGuw71qqgtX/LH8qNT0yy06Qa5BDpsT22nyxKDNDbO9y31dJZYpG9MLel KMSSqJyJKklVkn5a2Xkn8vdDm0bRf0rcWs85uXe8gVpOZjSM7wxQilIwdx1qe+Kst/x9o3++Lz/p HfFXf4+0b/fF5/0jvirv8faN/vi8/wCkd8Vd/j7Rv98Xn/SO+KsZv7r9OedbCaynutNWWW0hiuhH Ek3OG01Z5AiXCTIRxlUElD18cVZZ/hzWP+pq1T/kVpf/AGRYq7/Dmsf9TVqn/IrS/wDsixV3+HNY /wCpq1T/AJFaX/2RYq7/AA5rH/U1ap/yK0v/ALIsVd/hzWP+pq1T/kVpf/ZFirv8Oax/1NWqf8it L/7IsVd/hzWP+pq1T/kVpf8A2RYq7/Dmsf8AU1ap/wAitL/7IsVd/hzWP+pq1T/kVpf/AGRYq7/D msf9TVqn/IrS/wDsixV3+HNY/wCpq1T/AJFaX/2RYq7/AA5rH/U1ap/yK0v/ALIsVUbzydeXsPoX nmLULmDnHJ6U0GlSJzicSRtxaxI5JIisp7EAjfFUqP5R6E0jStcsZHeeR3On6JyL3aencsT+j9zM g4yH9obGuKt/8qo0/kees38sPorbR2csemy2sUKcKRw2r2bQQrWFCRGi1KgnfFV2u/l7qV15Y1jS LDzBdxtqNtdRCF4dNjgeW5jZSZvQslejs3xsp5fTirG/y+/Jrzj5bEbXvn3UZI1pWwtgrQLTsPrY uVp8o1xV61irsVY/+Xn/ACgHln/tlWP/AFDJirIMVdirzObX9LtrDR72+8vaDYxa5EJrH17iQUT0 frDGVk0544lSPdmdgo8emKoCT8wvIMas7L5OKKVAkXUwytzNKoy2BDqu5dlqFAJagBxVHWfmbyve X4021tfKE2pFnVdPTU0NyTECzgQfUfUNFUtsvTfpiqF0zzz5N1G1FxDZ+VYFKrJ6V7evZTCORgkb tBdadDKEdnARitGJFK4qvuPOvky2vJbK6g8oW91BKYZoZtSWNlZV5sTzsF+EDbn9nl8NeW2Kp1pJ t9ZtTd6RonljUbUNwNxaX4nj5cQ9Ocdgy14up+RGKo39C6l/1Kmg/wDSU3/ePxV36F1L/qVNB/6S m/7x+KpZr13a6Bai61jy95ftLdhKRI1xKwpBC9xITw05qcYomb6MVRujXtuLzRruDRtGFlq0rxWe qaXdC5/49ppw6EWsKsrLCVqr98VZnirsVdirsVdirsVdirsVdirsVdirsVWTzwW8ElxcSLDBCrSS yyMFREUVZmY0AAAqScVSmPzp5OkvZbGPXtOe+glW3ntVu4DKkzkhY3QPyV2KmikVxVH6hqumabCJ tRvILKE86SXEiRKfTieZ93IHwxRO7eCqT0BxVFYq7FXYq03LieNOVPhr0r74q8//AC6k/ML/AAD5 c5W+kkfo209Mia5H7v0V9Oo9I/Fwpy364qyH1PP/APyz6V/yPuf+qOKu9Tz/AP8ALPpX/I+5/wCq OKvOfMur/lva+VvI9j510+5v/rehXM1osMrJbhLXTo3uEmUT26u8iNxh5K1G7p1xViuh6p+SNhpN 5rreTrrS9L0a+tLRTBdTSh7i5umlh5IJkgmjSOK2uuSvIlXHDlxDMqjVk/Jry9qflvzc2manoXor GeEdzFJZt+lUuYK3fqTu5FsLORjw4stRsxqFVSbzEfyBstJsdVk8q61orX1mILmXTiIJrVYJJ1l0 +ZJZ1MUkkmnTRSskfI/EGdeZqqy38t/Jv5F/mJZP5q0bQL+3ltpja/Wbu+u0uS4VJufKG8mJJ9QH kzcuXuMVeveXvLuj+XdJh0jR4Db2Fvy9KJpJJSOTFmJeVndiSa1LYqmOKuxVKPMvlPQvMtnHZ6zA 89vFIZYxHPPbsGaN4mBeB4nKtHIyspPEg7jFUl1bSBodr5T0vy5bxqllftFZQXU0xQINPvKhpW9a U7V3Nd8VTH1vzA/5ZNJ/6Sbn/qhirvW/MD/lk0n/AKSbn/qhiqU6prX5l2us6NZQ2WjGHUJZkuC1 xdFgIoGlHAiFQN17g/xxVNvW/MD/AJZNJ/6Sbn/qhirvW/MD/lk0n/pJuf8AqhirvW/MD/lk0n/p Juf+qGKu9b8wP+WTSf8ApJuf+qGKu9b8wP8Alk0n/pJuf+qGKu9b8wP+WTSf+km5/wCqGKu9b8wP +WTSf+km5/6oYq71vzA/5ZNJ/wCkm5/6oYq71vzA/wCWTSf+km5/6oYqhtTtPO2pabd6dd2WlNa3 sMlvcKLq5UmOVSjgEQbbNirB5fye8xy28SyXSNeQ3CXaaj9bUTfWI57m5STiNP8AQ2kvn29Omy7d aqrdV/J7zRr+vWXmLzJLZXutWdrb2Qmtria0ikitrg3FWjFvIwabm8cvFwpRmAVeyqZ6d5R89+Uf y/1LRPLsVo8q21y9nKLhjcCdoSqekiWtvBzqoI+FeTfExLMzFVCeQF/5yQCx/wCJDpDW1RzW9NLv j/kmyHpf8Fir1vFXYqx/8vP+UA8s/wDbKsf+oZMVZBirsVec+XdY8w2/l/TLewujcWMNpBHa3B0G 9rJEsahH2vB9pQDiqYfp/wA3f78/7kN7/wBluKu/T/m7/fn/AHIb3/stxV36f83f78/7kN7/ANlu Ku/T/m7/AH5/3Ib3/stxV36f83f78/7kN7/2W4q79P8Am7/fn/chvf8AstxV36f83f78/wC5De/9 luKu/T/m7/fn/chvf+y3FVkGoate+YNCTVLkokd3LJbRjSbm09WX6lcLwM0tzMq0jZ3+zvxpirOc VdirH9e/5Sbyz/xnuv8AqEkxVkGKuxV2KuxV2KuxV2KuxV2KqF/fWmn2Fzf3knpWlpE89xLQtxji Uu7UUEmijsMVY2v5p+RjqL6eb+RLlLmOy+O0u0jaeWSSJESVoRG9ZIJF5KxFVO+Ko7X/AD15R8v3 UVnq+qQ219NG80ViCZLloo45JWkEEYeXjxgcA8aMw4CrEAqpnpmq6Xq1lHf6XeQX9jNy9K7tZEmi fixVuMkZZTRgQaHriqKxV2KtMSFJALECoUUqfYVoMVYB+XnmfWT5B8ucfKmqsq6ZaKriTTVDBYFU Moe8R6NSo5KDTtirIP8AE2tf9Snqv/I3Sv8AsuxV3+Jta/6lPVf+Rulf9l2KvN/MNppeqeX/ACLY TeYJNB1K30WW9sTDZXF1csV04K0lrJEwRZoULNwAaRh9inUqsC0TTPL09jLqGofmVrM2kW89iNQv 7yO8V52ubp2s1DwXZkWR44A4aWMlIpY2+CQVRVNtMb9A+bfLGsXf5h3s2hao4+uWOopqluryarFP HYiKBTNZkSTQytIZCqpx5V+IHFUgey0fy1omnXfl380bmz0rVNOhbT7OS0vrWzWkjPNdOdNAjWel rPxh4o0hXi7OOXNVlHlj8v8AUfPNzP5p8ufmle3Nibljdwx2Wo2du14Y1JZUa8t5R+5dVBU14UAb vir2jyP5av8Ay75fh03UNWuNcvVYvPqN08zO5NAABNLcMihVApz9zuSSqn2KuxVg2t/lvf6h5lut YtdcksEuArrDDHKsyzB7LmfXiniJieHThGY+IPxsSxHw4qpx6B5j0XRvJ+jya5+lNYttRmU6zfQS S+oDZXrDnF64kbjGeFTMTtUk9MVT/wCp+e/+rxpf/cMuP+8hiqU+WJvzF1LTZri61bSRIl9f2qhd MuCOFpeS26n/AHuXqIq+3Sp6lVQ1u086jzH5cD6rppkM1z6bDTpwAfqsleQ+vHlt7jFU9+p+e/8A q8aX/wBwy4/7yGKu+p+e/wDq8aX/ANwy4/7yGKu+p+e/+rxpf/cMuP8AvIYq76n57/6vGl/9wy4/ 7yGKu+p+e/8Aq8aX/wBwy4/7yGKu+p+e/wDq8aX/ANwy4/7yGKu+p+e/+rxpf/cMuP8AvIYq76n5 7/6vGl/9wy4/7yGKu+p+e/8Aq8aX/wBwy4/7yGKqV3pHnK8tZrS61PSZra4jaKeJ9LuCrxuCrKR+ kOhBpirFm/JzlZpaNc2LJG4lSYw6qbgSrJNMsn1g6t63MSXcrBudat7Circn5RaleXFhea3q9nru o6YkUVlfahZXTSIsFwlzH8MN/DEW9WFCzlObgUcsNsVVm8i+btC8k6to/le/s4pJoLyW2SO3vBcv d3CO3Jbu51GZkkeRqiRieJ3xVK/y+8vf85BWYjPmLzDpzWve3uYDezgeBeI2u/v6rYq9ZxV2Ksf/ AC8/5QDyz/2yrH/qGTFWQYq7FWA2egGTRNIstc8l2+qXemWMdiJ7g2M9FESxyqhlJYI9Nx3HXFUV +g9O/R76b/gG0/R0jxyPZcdP9FnhRY4mMdeJaNEVVNNgAB0xV17oun31stre+QrS6tUoEgmXT5Iw FLkUViRsZnP+ybxOKrrzR7K+jaK98h2t1EztIyTDT5FLyPJI7EMSOTPcSsT3Lsf2jiqI0WBtDtmt dE8mR6Xau3qPBZNY28ZegHIrEyitABXFUf8ApnzD/wBS/N/0k23/ADXirv0z5h/6l+b/AKSbb/mv FXfpnzD/ANS/N/0k23/NeKu/TPmH/qX5v+km2/5rxVDTHXdR1fRXl0l7O3sbuS5nmkmhf4TZ3EAA WNmYkvMuKskxVj/kf/ji3P8A21dY/wC6rc4q7Xv+Um8s/wDGe6/6hJMVQf5jXV3b6fYPbR3E7C4n drO1nubaS49HTrudYfUs1ef4pIl2RGJNPhPTFWAa1q3nPSdfW3PlXWNRs3srSVrax1HWZDFdTywx zq2oGYW7rCJHoqxVPHkxRcVQvlnzf5r1LX7XSdU/L/zJp0E86RTaqdW1j6tEkiSScvj4E8OCpUkK Sakp8IKqEttU/M250lb+HR9QW4hMvr6ZMvmGGSaRBZhLeGV9QXgryXUyC4ZCnGMyFQvIBVW1XX/z B0i9uJl8p6xruj0hSzktrzW7OZ5Gt4JH/d/Wb+b4nmkH72GFI+HEyM3RVf5d83ec9bl1K2m/L/zB oUlnp1xeQ3F9q+syJLPFGTFBEqrGsrPJxHH1FNKkbimKpHp3n/8AM2S2iS8/LzXEvprhEot/5jUR w8ow3OqPES3J+LeuAvVloDVVkmneZtfvdT1u1l8ua/o9haWj3+k67dXurpE/CWONIJYbplX1HDNJ Rv2fhKbE4q9vxV2KuxV2KuxV2KuxV2Ksf/Lz/lAPLP8A2yrH/qGTFWQYq7FXYq7FXYq7FXYq7FXY q7FXYq7FXYqx/wAj/wDHFuf+2rrH/dVucVd5mt9V/SOjahp9kb/6hNM08CyRxNxlgeMEGQqpozDv irFvzA1bXZ7PTluNMuNJAuZyl2t1FzDHTrsURrd/URqEkMKUxVkv/Kv9C/5atY/7jesf9leKvN/N flv807WGFPLmk3V/cerf+vLLrmqLF6STEWHFW1iFgzxAGTruf2emKoFvJn5x2fl63WKK+1PWLi9n t7mSbXb+P0LOG2WCC6Aj1CKMtPcRm4ZPj4h+FAAKKp1deV/P0Gq2sUGnX15p0jS+vN+nNThZFFhE 8PMnV3Kk3zSI3CN/gFR0BdVJrTy7+c8lnqck2j3EV0ERdHEmvamFZ4p19V54Y9Xk4etBP8CrcNxa JuWzJyVRHlny7+bc/m6Gy8w6NcWvltbieK41W317VC8kEcX7iZI/0vK8fqzLXgUYqrUO61ZVk/nj QrbRLSN7C41GU3Edyk0FzqV9dxuFgZ1Hp3U8sYPJRQ0xVlX6f8y/9Svdf9JNl/1WxVjnn+z83+av LMukWmlXmj3nr211aakktjM0M9ncJcROI2nQNR4h3xV51Yfkn5nsWtjFavIdPtoY9OnmtdNe4jur a1lt7e6km+tgyNC0qtGtBwCKAeXJyqv038l/NFjBJCUvbz9/S1nvFsJZre0V5JY/SYXSgXsctxLK t3x+27H0/BVm2ny+cfJ35fahb2nl0fXLK2u7m3e0jtLe1WQIzpxtI7id6VALAMzM1T3piqD8gfmP +cWsLH+mvIbJAxHO9WUWFB4i3uyXb6GxV63irsVY/wDl5/ygHln/ALZVj/1DJirIMVdirsVdirsV dirsVdirsVdirsVdirsVYV5b8z6RpVneWN+Z4bpNT1V2T6rcsOMuo3EsbBkjZSGRwwIPfFU1/wAe eWf9/wA//SHd/wDVLFWJ/mL5k0fVrDT7WymmMouZnPKCeGijT7oVDSoi13xV6ELCgtx9ZnPoEmpf 7dTX95t8WKsH82/mPpXl9NQtzFez6pLqUGj2NkZ7eIzXdzAk8BgZnLLCTMiOwRnX+QgYqlkH50eX mufKUEsOoR3PmZq6OpdPSltWvhaQTTl+DcpYnWfhw2FVrWlVVK5/PTydFYLwj1eS51Ke/gs7UCH6 w1xp78BDEqyM3+kzD0oAqsWbY8cVUvMn/OQnkby9Ja3t3BrM9k1nFcWtzAlu1vOssMEwHFpkf1BF fRseSgeBJGKs28j65ofnHyhbazpi30On37yOiXNzILhWikaJgXinl4ryj2CyUpv3xVKvzQt4IorS 7LS8lS5DD1JWTits/SLkVr7ha4qn3+PPLX+/Lr/pBvf+qOKpR5u89RN5X1ZfLk9wmvtaTDSnaxua LdFD6JPqwGOgen2hTFXl1p5+/Oa+ltF1D9IaV9ckd7p7O2tJYrKB74Okf760kkkmS1Zk5AMlFU/E 7MVVRGjeefzh1HVGbW57jRLGK5t51Sys4phLBdTQerbEy2szr9St0l5tsXlY8GZFXFWc6V+YaaH5 MvrzXbu/1XU7EX1wDPZtC8sMMkrWyl7e2hgTnCqVYqKE74qhvJ//ADkT5D8zTLaRxX9pfsB/ozWs lwSf8k2on292AxV6hirsVY/+Xn/KAeWf+2VY/wDUMmKsgxV2KuxV2KuxV2KuxV2KuxV2KuxV2Kux V2KuxVg/5shzpGmhCFf65LxLCoB/R131AI/XirLhHqlLetxASpP1qkDjmK7en+9/d7ePLFUl1nzj oujWmoXWpeYNNtY7aYWyBhyeOf0/W+rNEsxkmneIhkiQBz2U1GKrYvOuhSNYcdf0/wD0mV7ZYWRk luJYrr6k/wBXRpQ3D6z+75cXXcUNCDirrnzpoNvaSyTeZtIRnN0bSQuhHGwHO8XgLjlK1ugPqcSO HUjFW7zzx5YsNQEF/wCadGtfRgSa7tZp4opuMlAkwL3A4RuXTjVD1+1uMVR+l6i2raSt7pWrWGoR TOTb39qnrWzIp4lR6c7BiGB3D/RirGfzNS842TNLGbfjcgRiNg/L6s1Tz5kU9uP04qy3X7u/s9C1 G70+D6zqFtazS2dtxZ/UmSNmjTitGbkwAoN8VeL6b+bH556jEyyeTzpF28UslvFPpWoXUZMMMsjL JKs1sqFmiRIx1Yyf5FHVTzV/Pn5vWV9qEEegpJDYm8itXi028uBeywOsluY2juAsUcltcxnm9QZI pUG5UKq3J+aH5jJc/Uo/Kt3cfbhttW/Rt7DBczrBI4Y25d5bWNpJLVQZmpvKCwMbcVWT+XPMV5qf kXUtS88WK2MEKXI1G2ltJ4UNjHFyaRoZjK7LNFWQoKlOXpNV0YlVHeT/ADL+Xl/apbeU73TTDSq2 dkYomX5wLxZfpXFWS4q7FWP/APKvPIH/AFLOlf8ASDbf80Yq7/lXnkD/AKlnSv8ApBtv+aMVd/yr zyB/1LOlf9INt/zRirv+VeeQP+pZ0r/pBtv+aMVd/wAq88gf9SzpX/SDbf8ANGKu/wCVeeQP+pZ0 r/pBtv8AmjFXf8q88gf9SzpX/SDbf80Yq7/lXnkD/qWdK/6Qbb/mjFXf8q88gf8AUs6V/wBINt/z Rirv+VeeQP8AqWdK/wCkG2/5oxV3/KvPIH/Us6V/0g23/NGKu/5V55A/6lnSv+kG2/5oxV3/ACrz yB/1LOlf9INt/wA0Yq7/AJV55A/6lnSv+kG2/wCaMVd/yrzyB/1LOlf9INt/zRirv+VeeQP+pZ0r /pBtv+aMVY5528i6JbWthNoGg2trdC4kWaexs0EixyWVwg5+ggcp6rJWmKo3/F3m7/qzf8kL3/qj irHNc0pddnSfVfLBuJEvYtTX/jqxr9cgiWGKYrGqqSsaBRtT7ziqDXynpiz6DOnlHhJ5ZjSLRCh1 ZRAkciyqCAoEnxoGPqcq98VUbnyRot3Lay3flBrprKWeeBZ5NZlTndOJLgOj8lkSVlo6OCrL8JHH bFVLV/y+8u6xaW9pqXkz6xBaxLBAC+sKwjSGCBVLqFZv3dpEKk1+GvUmqrJ/Ll3qvlvR4NG0by+b bTrYuYYGXUpipkcyP8cscjmruTucVUvMc/mLX4EgutKkCIsqrHHb3J5tNGYqMZY0QLRqmuKsw/wD 5E/6lzS/+kK3/wCaMVd/gHyJ/wBS5pf/AEhW/wDzRirv8A+RP+pc0v8A6Qrf/mjFXf4B8if9S5pf /SFb/wDNGKobVPyy8h6hpl3YNoVhbLdwyQG4t7W3jmjEqFOcT+meLrWqmmxxVKPL35FflboRV7fQ 4rudaH174m6YkdDxlLRg/wCqoxVn2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2Ku xV2KuxV2KuxV2KuxV2KuxV57+bH/ACqrjZf48+s8aN9W+rfpOlOS19T9Hf5VOPqd+mKsKtf+heqT /oT636ddF/TPofXafVaJ+jPW+u/F6XL0+X1f95X7e3LFVbXP+VOfXtT/AEh+kf8AEX6Hflx4/Wf8 O7V9Lj/ovoejWnqf6Rxr/uymKqlx/wBCxenqnq+l/eXn1+n6R9T1fRj+u+lx+Plw4er6W/Lr8VcV f//Z uuid:915C853C292B11DF8C2FBDB696499764 uuid:93BA0AEC87CADF118A24B091A3017AD5 uuid:915C853B292B11DF8C2FBDB696499764 uuid:915C853A292B11DF8C2FBDB696499764 converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator Basic RGB 6.799995 6.200005 Inches 1 False False Helvetica Helvetica Medium Type 1 001.006 False HV_____.PFB; HV_____.PFM Cyan Magenta Yellow Black Default Swatch Group 0 K=100 GRAY PROCESS 255 K=90 GRAY PROCESS 229 K=80 GRAY PROCESS 203 K=70 GRAY PROCESS 178 K=60 GRAY PROCESS 152 K=50 GRAY PROCESS 127 K=40 GRAY PROCESS 101 K=30 GRAY PROCESS 76 K=20 GRAY PROCESS 50 K=10 GRAY PROCESS 25 K=5 GRAY PROCESS 12 White RGB PROCESS 255 255 255 endstream endobj 177 0 obj << /Annots [178 0 R 179 0 R 180 0 R 181 0 R 182 0 R 183 0 R 184 0 R 185 0 R 186 0 R 187 0 R 191 0 R] /Contents [192 0 R 189 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 1417 0 R /Resources 188 0 R /Rotate 0 /Type /Page >> endobj 178 0 obj << /A << /S /URI /URI (http://www.xilinx.com) >> /Border [0 0 0] /Rect [275.58 26.4 336.42 37.56] /Subtype /Link /Type /Annot >> endobj 179 0 obj << /Border [0 0 0] /Dest (G3.449560) /Rect [162 685.62 201.24 697.62] /Subtype /Link /Type /Annot >> endobj 180 0 obj << /Border [0 0 0] /Dest (G3.449813) /Rect [107.52 593.7 107.7 602.7] /Subtype /Link /Type /Annot >> endobj 181 0 obj << /Border [0 0 0] /Dest (G3.449813) /Rect [107.52 593.7 107.7 602.7] /Subtype /Link /Type /Annot >> endobj 182 0 obj << /Border [0 0 0] /Dest (G3.449813) /Rect [107.52 593.7 107.7 602.7] /Subtype /Link /Type /Annot >> endobj 183 0 obj << /Border [0 0 0] /Dest (G3.449813) /Rect [107.52 343.68 114.96 354.3] /Subtype /Link /Type /Annot >> endobj 184 0 obj << /Border [0 0 0] /Dest (G3.449813) /Rect [114.96 343.68 115.14 354.3] /Subtype /Link /Type /Annot >> endobj 185 0 obj << /Border [0 0 0] /Dest (G3.449813) /Rect [114.96 343.68 115.14 354.3] /Subtype /Link /Type /Annot >> endobj 186 0 obj << /Border [0 0 0] /Dest (G3.449813) /Rect [114.96 343.68 115.14 354.3] /Subtype /Link /Type /Annot >> endobj 187 0 obj << /Border [0 0 0] /Dest (G3.444008) /Rect [216.9 673.62 350.22 685.62] /Subtype /Link /Type /Annot >> endobj 188 0 obj << /ColorSpace << /Cs8 1435 0 R >> /ExtGState << /GS1 1436 0 R >> /Font << /F1 1437 0 R /F3 769 0 R /F4 772 0 R /F5 775 0 R /F8 800 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC13 792 0 R >> /XObject << /Iabc1727 190 0 R >> >> endobj 189 0 obj << /Length 18 >> stream q /Iabc1727 Do Q endstream endobj 190 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc1728 780 0 R /Gabc1729 782 0 R >> /Font << /Fabc1730 791 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [n eOvջCp<I e!Wi ZHf;|/(E1qdbE0EH(.X*Mw1)7JIx5s剢xy"q-lb@r2dv"& g5kDN 9]%8 sqE fgѯ'$DS3RwlVB+p|֦b/mk: S[${JLh*dKRG"+yk|\[-48יq|mau3#x?d_cxy /s"rԠsh*/s9p!ɜ FPs^8` dT:I*dx)UD]@wP&]@p94CZ-z^lM endstream endobj 191 0 obj << /A << /S /URI /Type /Action /URI (http://www.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG932&Title=Kintex-7%20FPGA%20KC7\ 24%20GTX%20Transceiver%20Characterization%20Board&releaseVersion=2.2&docPage=11) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 192 0 obj << /Filter /FlateDecode /Length 4771 >> stream x[koƚnHD J!6MrZY8*l)$_Crll{xșyYsqg{RնMko*绮3#!֘?kMmi(ӄXuu0Yd`*U]̹N>=|b5ӷGpԦ3QV`IU6ǣ:n\9U(Bi+_/?2UM1-j;_ؘwlf?r2׳Mjl;/{ +a$˒s0=Q"?bQ}Z^.WO|}%BxIK`}wam9iO$7[97kֳ/;ޙWWWy>߭@:ֹV!ˣT9 ID_D(nn&e\8SWV^KY]Zz cZ1pUILt23 F;<>9>v@汒踋܀KX܇rY^-vl5-} v2 BVuט:rK#V]oJw7OwnٷdrLn:*DJ= @ }Bv(-w몫;(2IDzT!jAz2 7!M)3ElU+:%?QDL%729NMG@%qHKb? hBZf T[R&KI4@ȫAR0 dk{*ZaJ2XƄ֩밗O<3 5uFFRb #1[ #l(21cc6F6c*D١ 3FFzRT}JjUYPu -|MIEyvd=sIS_\o[~=W~(ٹ-$p< q^52_.gΗR/H?UVhh ܍m^0RPe ڶ :oKELNOyڂXY+-fjWԕf6/6Rd::w|֝9PR;r a}],"ds9Cd\Rɽ;҄Ս鬜h͋7z *[X.ЄtklKL^aWF)hPlEg۵yCWo"b *Vx=hiŧbYH˶0o@88w Y% @ʉ-w nʧLUV϶<hysa\ R^9%%~% @GnBNm B$')ClЄz*ctZZH3(M邘؉ID=rx.p=sZ6`[L|,d6 x5H[ [S!Wlp ٗ!W6AN0 㜜2$qNv6f i qPϘy~-fh?[2<~Pv]ZEsidpcVa8~ EԮ@ѩ.fr0NŲNON㶨A |.RW2D1~i7>lݶ~$>0uJ ×Z#Z/| f&s# 3٫iH{g~PߌZWxB X!V=?J>5d-`W}Co׌q!{"2tgi䂈7_Q$.ب "Y(zԲ)ur,i&DoZBq#tRhOdwHH7B5 Hc5$ u܅Z?'K^?}CHvp PSėm͚bys]9VZ}yڢ?7JFK ߗE..PP}9(O?> OT)j4F0} W^ ȫt?=zo %j[h<}I_L P @gSO^#C ݪNUhAZLlaOC<Q}ȡ6{a{;I]>ѿ_t,.ؖOx$y^ f{ϨN˄F.b􅫓ɼj㌛Q͍{77栻qp/XP$M 4O.C:dHB5qV6uNxzxƾ w_/|ϿV?W+GZc}DzjwCx$j|ܼbN^=+BI&6TxX\MPJkrKZ=BekRlz_oW|0] 5R.MTA2OIuSq+t$Cu/z) \hjFbAr-gY$ $#rw(C庄eI3)GgcH.%ߑrDOmd^,.R0d } /{Y %ZKAh\⻞i oN/ϬҐBd9ug/"^Ou (#7mϺS֓zCKrײV`.-I 뼞H~,^|rZ~bA~qyn;g>OI}z`MC.<{:}7:'K%eR$̤) /GkyzzSGXGb;}4?7{EB^o%2mW["^Yb(݃q|]s~.=:]0_hF:|Lzfҝrwr6zl$\yޅx8_7!]}/x{Ӂn?1A= endstream endobj 193 0 obj << /Annots [194 0 R 195 0 R 196 0 R 197 0 R 198 0 R 199 0 R 200 0 R 201 0 R 202 0 R 203 0 R 208 0 R] /Contents [209 0 R 206 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 1417 0 R /Resources 204 0 R /Rotate 0 /Type /Page >> endobj 194 0 obj << /A << /S /URI /URI (http://www.xilinx.com) >> /Border [0 0 0] /Rect [275.58 26.4 336.42 37.56] /Subtype /Link /Type /Annot >> endobj 195 0 obj << /Border [0 0 0] /Dest (G3.449560) /Rect [484.92 688.32 524.16 700.32] /Subtype /Link /Type /Annot >> endobj 196 0 obj << /Border [0 0 0] /Dest (G3.473233) /Rect [480 664.32 485.04 676.32] /Subtype /Link /Type /Annot >> endobj 197 0 obj << /Border [0 0 0] /Dest (G3.444014) /Rect [481.32 365.28 558 377.28] /Subtype /Link /Type /Annot >> endobj 198 0 obj << /Border [0 0 0] /Dest (G3.444014) /Rect [162 354.78 186.78 365.28] /Subtype /Link /Type /Annot >> endobj 199 0 obj << /Border [0 0 0] /Dest (G3.473143) /Rect [490.02 664.32 534.42 676.32] /Subtype /Link /Type /Annot >> endobj 200 0 obj << /Border [0 0 0] /Dest (G3.473233) /Rect [533.94 343.8 538.92 354.3] /Subtype /Link /Type /Annot >> endobj 201 0 obj << /Border [0 0 0] /Dest (G3.473143) /Rect [162 332.82 202.98 343.32] /Subtype /Link /Type /Annot >> endobj 202 0 obj << /Border [0 0 0] /Dest (G3.473243) /Rect [405 365.28 409.98 377.28] /Subtype /Link /Type /Annot >> endobj 203 0 obj << /Border [0 0 0] /Dest (G3.473143) /Rect [414.96 365.28 455.88 377.28] /Subtype /Link /Type /Annot >> endobj 204 0 obj << /ColorSpace << /Cs8 1435 0 R >> /ExtGState << /GS1 1436 0 R /GS2 803 0 R >> /Font << /F1 1437 0 R /F10 804 0 R /F2 1438 0 R /F3 769 0 R /F4 772 0 R /F8 800 0 R /F9 797 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC14 792 0 R /MC15 205 0 R >> /XObject << /Iabc1746 207 0 R >> >> endobj 205 0 obj << /Metadata 210 0 R >> endobj 206 0 obj << /Length 18 >> stream q /Iabc1746 Do Q endstream endobj 207 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 108 14] /Resources << /ExtGState << /Gabc1747 780 0 R /Gabc1748 782 0 R >> /Font << /Fabc1749 791 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n>)W^.P/ Jc(J2h7r @` j*"$TT w197JIx5s剢xy"ZĀ0r2dv"`IPg5kDN 9]%io#Ƭ.PBl(Y}Ѿ? 5Ժ@AC5N> /Border [0 0 0] /Rect [108 14 194 36] /Subtype /Link /Type /Annot >> endobj 209 0 obj << /Filter /FlateDecode /Length 4347 >> stream x[[sܶ~LFvB$˱tdx֫v}瀻JNrl[MYGSZWUޔw]gV#􃡮0>,kMeʪi&kM]cِYDXv2ڲr:xCk99;2e\UX\U:N蝼9ʬOe^q%~9y Kl%ϳ7o*|;/Fm9]^80W,h0 - F_D68}$ϳ73̣MIf/rzle/.ʶ~a7dL7_|0Un PzB*l\ 84Uh>3/׮t/rdYPllόK-zZ/&ԔLմ1Ի!,b0$bn9$f[ RZ>A@ҶQRuds!Z Ulsp&&<\]iw=4M|<鳕B`y(C+>GxPpcY1ʆbOfΕ,Ж kU reLi6y׽jؘ{m0mP T _B͏G.agj~5۬S{L״ X!-/i:_83#WzWF8%4@q}ϗ:[SFn ;!PΈPշQG˥x`A9Bc;1Y_C~=D#"@/xV`~̕5ZGuYE {uwᙨL]|`a}IzZз+X@ pd;p.d HhK!CZU{j;앶GŨ#`搆ʾhx 2bU)4Ͱ Peuhv7f9< DmsW3ؑԷ -"Џ4JDeB NzmƏ|T:,@uw `sR1[ȧAsV-g,q\:#g9s)``b" u$<:Mѫ$6qVۗM] "%H5XYPݺkP+?qX{Dv [;iلZ)92쾃nGaO'@{O([B'MW0o#4Z0iEhuCm$`73AcUۼʷ`-H!@/M@ )-IQXem2dMeӆD,ml&1)])NHfՈR# qU3vJ2NS>v(-W벫:(2I:"=RMTX T=՛zE͉B[ʱ6{I=HQrs2T4zl#h;bD;.iQ[[+ڬa%zgp  ϬR5IJڤɴ}"I]-(d k{*Zad4I=Y2Ӄ19Sf/WCR2󅙽h ENibZ'ۙY. .!T̨0Unl{*M&ds%:\L6As]o̫Yo/SB/zc{c#MvYQ.͒2/l=Zr̊kB\\ϥ#rլ+Ǎ|yd% d3!uu5\N0_EB‹l:)sMil[b )Zv©#>3:`NA j-Kꥎhfo\Ad/dO S<^Uv ǀK"fŵ-eP&a<ɫKbLp=zuhq;9z rFyؔ)Xh6 )-'P uq\h닃jJ4}c.b$P.rCFޒq4`! q#fA}=cHrjR D"RJ5喪v15eqC D≚XYUcJ#K򜶢tTW5EHSJsHsVthj~w\.{kjMUxT_\sGe;r>Ap'uSF.!-gN\dAJ pܞv&,[|6 @NSAo;bsP3!^ͥGÙ F!Ώ'sЖ}oaq)3 =}lט,(Py;N97&|{Mls[clQ'&HJH)UZ$ (&s>5,yUPkk#16rBz;=D O); 9ɻFAuit}l'y(z`N.ik!YͭwZ+0=l^N~Xsjf*yEˈ 7.ں vDX&N.,oII=VLHuKC{f |\ bE*bThxLs+TNܴE/ 5jKCLb}9/𲊮[F$]hDy#ƉP+PՍ=ejWdO4c[o 5q_Vf- իg :/ d֏.`"C}/Ū)89$bc%Lp$:Y5ؗSVzVE G_?H)mXR_(ePtk!k>`u?yܫx&x#mW-vC]#Qƞ|3_.O=% OnU+|ҞyflMlda#/%:osxCsΏw{:vw5/dx]ϯbdCqw7+9{NnލЍȍ݇10|Zn!̍S9YodۖsZǃJUjJKǯS;9<&uuSZGQs ]{Q_mNRIɟ7F7}\v7n`ZbGD endstream endobj 210 0 obj << /Length 31810 /Subtype /XML /Type /Metadata >> stream application/postscript For use with FigureWide tables used in Xilinx FrameMaker document templates. ug810_cX_NN Adobe Illustrator CS5 2012-06-24T18:28:28-07:00 2012-06-24T18:28:29-07:00 2012-06-24T18:28:29-07:00 148 256 JPEG /9j/4AAQSkZJRgABAgEASABIAAD/7QAsUGhvdG9zaG9wIDMuMAA4QklNA+0AAAAAABAASAAAAAEA AQBIAAAAAQAB/+4ADkFkb2JlAGTAAAAAAf/bAIQABgQEBAUEBgUFBgkGBQYJCwgGBggLDAoKCwoK DBAMDAwMDAwQDA4PEA8ODBMTFBQTExwbGxscHx8fHx8fHx8fHwEHBwcNDA0YEBAYGhURFRofHx8f Hx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8f/8AAEQgBAACUAwER AAIRAQMRAf/EAaIAAAAHAQEBAQEAAAAAAAAAAAQFAwIGAQAHCAkKCwEAAgIDAQEBAQEAAAAAAAAA AQACAwQFBgcICQoLEAACAQMDAgQCBgcDBAIGAnMBAgMRBAAFIRIxQVEGE2EicYEUMpGhBxWxQiPB UtHhMxZi8CRygvElQzRTkqKyY3PCNUQnk6OzNhdUZHTD0uIIJoMJChgZhJRFRqS0VtNVKBry4/PE 1OT0ZXWFlaW1xdXl9WZ2hpamtsbW5vY3R1dnd4eXp7fH1+f3OEhYaHiImKi4yNjo+Ck5SVlpeYmZ qbnJ2en5KjpKWmp6ipqqusra6voRAAICAQIDBQUEBQYECAMDbQEAAhEDBCESMUEFURNhIgZxgZEy obHwFMHR4SNCFVJicvEzJDRDghaSUyWiY7LCB3PSNeJEgxdUkwgJChgZJjZFGidkdFU38qOzwygp 0+PzhJSktMTU5PRldYWVpbXF1eX1RlZmdoaWprbG1ub2R1dnd4eXp7fH1+f3OEhYaHiImKi4yNjo +DlJWWl5iZmpucnZ6fkqOkpaanqKmqq6ytrq+v/aAAwDAQACEQMRAD8A9U4q7FWC/nB5ztPL/lLU 7I3Mtlq2q6XqS6HdRkpW9jgpDDHIpDC4keVfRVfiYg06YqxP8qvMPmS6/MXVrDWri6mul/SST2pu 5JYreO2u4Y7MzWTxIto0lueUTRyN64Ls3QUVezYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYqg 9b1JdL0a/wBTaMyrY20tyYgeJYQoX413pXjirELTzr+Y11aw3UPkXlDOiyxMdVtRVXAZTQr4HFVX /Ff5mf8AUif9za1/5pxV3+K/zM/6kT/ubWv/ADTirv8AFf5mf9SJ/wBza1/5pxVF+WvOGuah5guN E1rQTot1DaJexn63FdB43kMX+61HH4lPfFWV4q7FXYq7FXYqxqb8x/JUMEU82qJFFNJeQoXjlUiT TnMd0rqUBQo44/FTkSoWvJaqrW/MrycsYJu5vX9RoWsPql2b1WRFlcvZCL6yirHIjszRhQrAk0Ix VbZfml5AvdUi0u01mGa9nEpt0USFZBBbxXUnpycfTekFzG/wtuDt0aiq3y5+Z/k7zJqCWGjzXtxc SQx3Ss+m6jBF6E6s8MrTzW8cSpKsbemxaj0+GuKo2987+X7TX/0A5u5tVCQSSxWthe3SRJcs6QtN NbwywxBzE+8jjoT0xVKrn84fy9t9PbUDqMs1nFALq6ltrK+ufq0TM68rpYIJGtvihcETBSCprirK dM1G31KxivbdJ44ZqlEurea0mHFip5QXCRSpuP2lFRv0xVFYqknnn/lCvMH/AGzbz/kw+Konyz/y jelf8wdv/wAmlxVGXl5aWVs9zdzJb28dDJNIwVRU0FSfEmmKpbY+b/L17draQ3RW4kJWJJ4pbf1G FTxjMyRh2opNFqab9MVTjFWJR/8Ak2Z/+2DD/wBRkuKt/mZrGv6P5dg1DRriOCVdS02C6EkPrNJb 3V/DbSJGSyrGxE32irbVAAJDKqyzFXYq7FXYq871L8mtOvtc1/VW1GWP9LejLp9uI1KWN1HNBcTT pyJ5+vPZQO6bD4T/ADbKqmpeUvNVvfweYf080/mFVubX1IdOEtpHaXYty8UVqbgSJxezWRXad/jL VBU8cVYm/wCUNnPqWoX95datc3F3FN6F01oq3UF1LFp6JdrMJKGRG0vkfgAb1CvQfEqnPlDS7vyx Yy3Wh29xrN5HpOlaFFBdRPYws+hme3kkaZBduvP1m+ERtQrSpBqFVOy8p+cdZ88nzXcyLoF3JFaR XGmo2pXNsyWMkjofWgudHSQyC4I4T20irTaoLAqoqL8mJI9D80aWNddz5wtZBrM724NdSmLc7yJR IOCFH4+jU7KvxV5FlXpuKuxVJPPP/KFeYP8Atm3n/Jh8VRPln/lG9K/5g7f/AJNLiqR+cpb4eYfL 0VurNF/pczhY1lZZI0RY5ERmUM4EjAdfhLHtUKpJe2mlQ6Pbrp8s31abTdMOoy/V1WlikjMLuR/g MUqIZZARuGUGmKsr0y985y6baS3em2S3TwxtOpvJkIkKAsCv1VuO/auKsdjuPMn/ACtOc/ULP1v0 HCCn1yXjx+uSb8vqta17cfpxVlf1nzV/1brH/pOm/wCyTFXfWfNX/Vusf+k6b/skxV31nzV/1brH /pOm/wCyTFXfWfNX/Vusf+k6b/skxV31nzV/1brH/pOm/wCyTFXfWfNX/Vusf+k6b/skxV31nzV/ 1brH/pOm/wCyTFXfWfNX/Vusf+k6b/skxVW0KzurTT/SugguHnuZ3WJi6L9YuJJgoZlQmgkpXiMV R+KuxV2KuxVJPPP/AChXmD/tm3n/ACYfFUT5Z/5RvSv+YO3/AOTS4qu1620mbT2k1SVbe2tiJxeG X0DA6ggSrNVeBAYitehIOxIxViui6J5RW+igfU767acRG1stRLwwy/VuUkPoo0UCypHVnVFqg+1x 2BxVnWKsSj/8mzP/ANsGH/qMlxVBfm95rl8t6BZ3MWsLo7XN2LdZP9FEsrNDI0cUcl9/osX7xVaR 5dggYD4iuKsp8uXN5deXtLub2e3ub2e0gkubmzYPbSSvErO8DDrGzElD4YqmOKuxV2KuxV2KuxV2 KuxV2KuxV2KpJ55/5QrzB/2zbz/kw+Konyz/AMo3pX/MHb/8mlxVI/OV1fRa/oEcIBg/0qaXlbzX apJEsYik9GBkd2XmQortUtT4ahVj95ZaFY6NF+jZYxBeafpjalONPuFb6rHIzLqHrRmP6tKitLKG lqysoPUbqsw0y+85S6baS3Om2QuZIY2mBvJUIdkBaqi1bjv2qcVY7HceZP8Alac5+oWfrfoOEFPr kvHj9ck35fVa1r24/TirK/rPmr/q3WP/AEnTf9kmKu+s+av+rdY/9J03/ZJirvrPmr/q3WP/AEnT f9kmKutdT1X9KxWF/ZwQevBNPHLBcPN/cvEhVleGGlfWG9e2Kt6n5o0HS7wWeoXYtpzaXGoAOr8T bWfD6w4cLw/d+opK15U3pTFUss/zM8j3bgRamqRlHcXM0U0FufSiM0qfWJUSH1Yo1ZpI+XNADyUU OKqEv5seRoxEDd3LXE8ixQWUen38l25eOSZXS1SBp2jaOCRlkCcCFNDtirdn+a3kS8v4rK11CSdp ntYo7lLS7Np6l9HHNbRm89L6sHlSZCqmSvxAdcVV7f8AMvyNcvAlvq0UputTl0OAqshDajApZ4K8 aA0WoY/C21CaiqqY6H5p0HXdJfV9Ku1utOjkmia4VXVeds7Ry0DKpIVkO4FD1FRiqUWH5peSr2zt b5Lm5t7C9eGO0vrzT7+ytpTco0kJSe6ghiZXVDR+XGpUVqy1VasPzT8m3+p2WmWct9NdajGJ7Erp epelLASi+us5t/R9EGVKy8+A5CpxVluKpJ55/wCUK8wf9s28/wCTD4qifLP/ACjelf8AMHb/APJp cVX63aaZPYmTUZRbRWrfWEvPU9FoHQEeqstRworEGuxUlWqpIxViuhaL5SS+jgGtXd60yxrDYXsi pHMttyaP0k9KH1I4+bHilY/bYUVZzirEo/8AybM//bBh/wCoyXFUL+bXmLUNC0C3u7PU/wBFB7hk lnRIGmkpbyvHDFJeD6pGzyotWmIBWqr+8ZRirIvKd7qF95V0a91Gn6QurG2mvOKNGvrSQq0lI3Cu g5E/CwBHfFU1xVKrn/lKtO/5gb7/AJPWmKpR+Yf5e2vnSzsLea7exNnc85pIlDma0lRoru0apACX ETFSd6GhoaYqls/5W3Fxp2o+X5dbkTypftqUn6NhgVJw+qmZ5Vkui7epHHJctJGvpqQ1OTMBTFUr 85fl55j1Qadfar5qme9sLoSW31LT75IVQW09u3wabdw3SSSC45SSCcKeIXiF+HFUih/KfSLSycWG s6vBqcc+lXFhctpupG1iOk21tbL6mnx+lazM/wBV5BygZOQoaqDiqSQ/kdoYto00jzTrKw288d1B LLo9xJLFqdlbz2a3CPDFbbo7RsQwLExbuS1QqzjydoGseV9CHk/yxZRahpTtdzNeak1/pckK3c7v 6SRtYXMcnpJIoBMylj+yMVUdL/I6/j8or5P1fzEb/wAvOtpDeQxx36yzW9mhCxK13qF9Fbh3CM31 eKMUXiAPh4qst0TyTeWGr6Lqt7qn1660jSLjRmb0BF66zz28qTNR2Csq2gVgBRiajj9nFWV4qknn n/lCvMH/AGzbz/kw+Konyz/yjelf8wdv/wAmlxVIPO1xdL5g8uwIoeCt1cur2014qSwLH6M3owMj syNIQu9AW5UqoIVSO90zQ7LSbYabJEY7uz0tr6ddPmLehFMxTUPVjKC2lRXklDS1oVr2NVWX6Zq/ mabTbSa50bjcyQxvOvrotHZAWHEio37Yqx2O/wBa/wCVpzt+i/3n6DiHp+vH9n65J8VaYqyv9I69 /wBWj/p4j/pirv0jr3/Vo/6eI/6Yq79I69/1aP8Ap4j/AKYqldxqGuf4n08/on4hZXoC/WI9x6tr U1p2xVNP0jr3/Vo/6eI/6Yq79I69/wBWj/p4j/pirv0jr3/Vo/6eI/6Yq79I69/1aP8Ap4j/AKYq q6DaXVrp5S6VUmknubhkVuQUXFxJMq8qCpCyAH3xVMMVdirsVdiqSeef+UK8wf8AbNvP+TD4qifL P/KN6V/zB2//ACaXFW9e0/Trux530xtFtG+sQ36uInt3QEeqsh+EUUkHlVWUlWBUkYqxXQvL/lJ7 sWqa9LqayJGqadLOpjmhtizRfAADJHH6jfDHSI91OKs7xViUf/k2Z/8Atgw/9RkuKpT+ennfzF5S 8jXN55dtppNVlWQRXqW0lzDaJFGZHmm4JKq/Z4J6g48mBb4Q2KvQIJkmhjmQMEkUOodWjYBhUckc KynxDCoxVfiqVXP/AClWnf8AMDff8nrTFU1xV2KuxV2KuxV2KuxV2KuxVJPPP/KFeYP+2bef8mHx VE+Wf+Ub0r/mDt/+TS4qkXnS5ul13QLaNQ9s31q5lRrea7VZLcR+jKYIGV5ODSUA6BiH6qCFUiu9 O0q00u2GnXEZ+uWWmNd3Menz81jWRwNS9VCFtpVWSSTlNUjjv0OKsu0zWPMlxptpcT6NwmmhjklX 10WjMoLDiRUb9jirHY7/AFr/AJWnO36L/efoOIen68f2frknxVpirK/0jr3/AFaP+niP+mKu/SOv f9Wj/p4j/pirv0jr3/Vo/wCniP8ApirzTzJ58/My0/Oryzolr5V56Fd27x3V/SSbjFNKhuJRNGRF H6HpR/CwPX/KXFXrV9e29jZXF7csVtrWN5p2VWchI1LMQiBmY0HRQScVY9P+ZXkuG6htBftcXdzb QXtvbWtvc3Uslvch2ikSOCORjVYmYgCqru1ARiqoPzF8ltJbJHqaTJdLbvHcRRyy26C7IW29a4RG hhMxICCVlLdsVXW35geTrq+ewt9TjlvItSbRZIVWQlb9YXuDAfhp/dROeX2agivLbFUPB+aHkW4n a3h1MPcidbVbcQz+rJK9xLagRJ6fKUCa2lVmQFV4kkgb4qjvMPnPQdAu7Kz1BrlrzUFmks7azsry /ldLfgJW4WcU7KqeslSwHXFUHc/mV5Ot7h7VrqeS/jma3fToLK8nvA6RLO1bWKF5+AjkVufDjuN6 4qmHlbzXonmjSk1XRXnm0+Snozz2tzaCRSoYPGLmOFpEIbZ1BX3xVN8VSTzz/wAoV5g/7Zt5/wAm HxVE+Wf+Ub0r/mDt/wDk0uKr9asbK6s+d1MbT6qfXivkZY3t2VSDIruCo+EkNyBUqSGBBOKsU0Py /wCVJrj6pF5hGqRvHEracl0kiyw2xYxpIgZ29JS5+BOKHoyldsVZ1irEo/8AybM//bBh/wCoyXFU B+cPmDWtE0HT5tJ1GPSpJ75Y7m7lkigX0Ft5pSgnntNRhjd3jVU5xfEfhBqRirLfL97JfaDpt7Ik 0Ul1awTPHdKqTq0kasVmVFRRIK/EAoFe2Ko/FUquf+Uq07/mBvv+T1piqakBgVYVB2IPQjFXmOgf ks/ly4j1DRdb46vbTXKWdzd2vrxR6bPHFDFYmFJYSRbx20fBw4+KpK0YjFUbJ5N1bRJpbi18yXYj 1N7OTV5fqEl7eT3NnEkXqLJbkCFJ44FWVfSO32ChOKsL0X8o7XQr631LTfMeqyahDLHfyNfaJcSw yahH9YUztHBHauUZb+cFDIX3X958NMVRdj5FtbS6sdd0zV7271jQpbp9HEmlX0dt6l1cTy6nDPwi kalzNMycgKwhVorENzVTLWfLnmrz1rGl39zKnlm/0aK7ihW3/Tksc8d4YC5a4iHlyWNkNuKIHcNX cbYqyGw/Lm8tvPJ86PrHq6tMjWd5GICsD6aFX0rZFMrMjxzJ6vqlmJJYEUpxVT3yV5bHljylpHl4 XH1saVaxWn1kp6fqekoXlwq/GvhU4qnWKpJ55/5QrzB/2zbz/kw+Konyz/yjelf8wdv/AMmlxVIv Ot1dDWtCslX1LWX6zcTobaa8QPbiP0pHggKu6q0mwrQMVbqoxVIb3StKstMtPqdxE4urPTDdXMGn ziReEjAan60bUtnCyvJymr9k1JFcVZfpnmDWbjTbSe40C9W4lhjeZQ1mAHZAWADXIYb+O+Ksdj1a /wD+Vpzv+hbzl+g4V9LnZ8qfXJDy/wB6ONO3WuKsr/TOo/8AVhvv+Dsf+ynFXfpnUf8Aqw33/B2P /ZTirv0zqP8A1Yb7/g7H/spxVK7jWNQ/xPp7foO9BFlegLzsqmstrv8A7002piqafpnUf+rDff8A B2P/AGU4q79M6j/1Yb7/AIOx/wCynFXfpnUf+rDff8HY/wDZTirv0zqP/Vhvv+Dsf+ynFVTQLa4t 9OK3EZhlluLq4MTFSyrcXMkyqxQsvIK4rQkV74qmOKuxV2KuxVJPPP8AyhXmD/tm3n/Jh8VRPln/ AJRvSv8AmDt/+TS4qv1nTbS9t0e4ma1ezb6xBfRsqPA6qQXDOGSnBmDBgVIJqMVYnoGgeW552tIv MEOpwtDFHJp9vceoJbe2LemkyvNcn01MhqsXBGrRgV2xVneKsSj/APJsz/8AbBh/6jJcVQ/5pedt Z8r6bpv6FsRe6lql2bWL1FVo41jt5bmRmV5rRWPCAgD1V8d6cSqyby/qq6voGm6snHjqFrBdLw5F aTxrIOPMI1Pi7qD7Yqj8VSq5/wCUq07/AJgb7/k9aYqmuKuxV2KuxV2KuxV2KuxV2KpJ55/5QrzB /wBs28/5MPiqJ8s/8o3pX/MHb/8AJpcVSDz1cs2r6Fp0vpmxnNzc3AniknhD2wj9J5Yo2T1FDyUC s1OZVuqjFUmv9L0uy0+yNpqFo011babzuY7VvVLiRk/ScciSfu2KzO5L8qhaEkVxVlmmeYtWudNt LmbQL1ZZ4Y5JFVrMAM6hiAHuQw3PcVxVjserX/8AytOd/wBC3nL9Bwr6XOz5U+uSHl/vRxp261xV kGqNFq1obPVfKk+oWbEM1tdLp00ZZdwSklwy1GKopdXv1UKugXyqooqh7EAAdh/pOKt/pnUf+rDf f8HY/wDZTirzTzF+ZXnaz/OvyzoFv5SuTpF9bvFNeygMwS4lT1pleB5YVW39FCwY1oe1VxV6gPMX l8xRSjU7T0pzMsEnrx8Xa15fWAh5UYw+m3qU+zQ16YqoN5x8oLpiaq2uaeNLlk9GO/N1CLdpT/ut ZeXAt7VriqJude0O1aZbnUbWBra3F7cLJNGhjtSSBO/JhxiqjDmdtjviq2TzDoEV3LZSanaJeQLI 89s08YlRYkSWRnQtyUJHNGzEjYMpOxGKqz6rpiWMV+95AtjP6Xo3ZkQRP9YZUh4yE8T6jOoSh+Ik U64qo2PmHQL++udPsdTtLu/sjS8tIJ45JoSDSksasWTfb4hiqF1bzt5M0e/TT9X17TtOv5FV47O7 u4IJmVyVUrHI6sQxBA2xVT1Hz/5D0xol1LzJpdk1xEk8Aub23iLwygmORObryR6Hiw2OKpl+mdH/ AET+mPr1v+iPR+tfpH1U+rehx5+r61eHDjvyrSmKoDzz/wAoV5g/7Zt5/wAmHxVE+Wf+Ub0r/mDt /wDk0uKr9X0q2v4onkle1ntH9a2vYiqyQsAVYjmGQqVJDKwIIxViWgeXtJu3e0PmOHVbX0YIpLCz dQHtrYsYopqzXDGKsh5BeIbo1V+HFWeYqxKP/wAmzP8A9sGH/qMlxVV/MjXNb0PyxLqWjT20d9HJ HFBBd20l0Lma4cQwW8ax3FmUeSeRBzLEAV+HFWQ2AvhY24v2ia/ESfW2gDLCZuI9Qxq5ZgnKvEEk 0xVXxVKrn/lKtO/5gb7/AJPWmKvO9Y/JjUr/AFrX7lb63XTZnF35esnVyIbq5uLe61AXAAAMU8tk o+Gp4ySfSqmUvk7zo3mJPNYtND/SzJd20mmFphAIruO0QTPdiD1J50+pkVaFeUbenVQOWKsD1/8A JLzZ9cvNTvde0y1GqWE+gTW1vaTxRpp7WSwwCIREoAk9uk3oekAvJwJDtiqJ8zeRr3zPNqFzfahp tjPqF9+lnWJbm6CzW9hDY29pykghL28/pM1zsCVogU/axVkfmjzJN5w0VvLg02501/rdlLLrLSWz 2FdPv4biYQtDLJd/ELdlj52ymtOYTeiql5c0fVdPPlyJIbfUYvJcE0dimlMVv9SEsf1asy3gs7eG qv60w+sPzkUMDtQqoltD/My7883XmzSrU6RZX1vY2t1o+oXtnDLJ9RknY+sILPW1eN1uaL6VxE/2 q/skKoTRvyc8waV5X1LQEurGZNQ1DStWF0A8TRyWl5b3F1aoio1LeNLalqOXw14kAfFir17FUk88 /wDKFeYP+2bef8mHxVE+Wf8AlG9K/wCYO3/5NLiqQ+ebxxqmi6fLHHNYXP1me6jmDtDW3EfpmZUV ucYaX7J258T2xVJL6xs7KxsXt76Ce5urXTuN4DK0sUjSMh1GBquEDLKXblQMqcWqtcVZZpfmPVbv TLS7k0C9WS4hjldVezoC6BiByuQ3fuK4qx6PVr//AJWnO/6FvOX6DhX0udnyp9ckPL/ejjTt1rir Jbm9muhGt15aup1hkSaISnT3CSxnkki8rk0ZTuCNxiqt+mdR/wCrDff8HY/9lOKu/TOo/wDVhvv+ Dsf+ynFUruNY1D/E+nt+g70EWV6AvOyqay2u/wDvTTamKpp+mdR/6sN9/wAHY/8AZTirv0zqP/Vh vv8Ag7H/ALKcVd+mdR/6sN9/wdj/ANlOKu/TOo/9WG+/4Ox/7KcVX+XoLmHTSLmFreWS5u5/Rcoz Ks91JKgYozrXi4rQnFUyxV2KuxV2KpJ55/5QrzB/2zbz/kw+Konyz/yjelf8wdv/AMmlxVU1bSor +OJvWe1urV/VtbyLjzibiVbZwyMrKxVlYEfTQhVieg+XLC6lmtP8Q2+p2aww215p9nT4oIOfpwSl prlhF+9NVXiW6MStQVWdYqxKP/ybM/8A2wYf+oyXFVD80PO2peVbDTG06JHudSu3tub2896UWK1m uiVtLVlnlLegEqpolebbDFWQeVtXl1ryxpGsSxrDLqVlb3kkMbiREaeJZCquNmALUB74qmmKpVc/ 8pVp3/MDff8AJ60xVNcVdirsVdirsVdirsVdirsVSTzz/wAoV5g/7Zt5/wAmHxVE+Wf+Ub0r/mDt /wDk0uKpB561BY9U0XT7lIZdNuvrM11FdTfV7ZmtxH6f1iThKDHWQ/AVoz8PkVUjvbDTdPs9OeO8 sZbu7tdNWC8W9KzwzGUob+0qsnqo3rcmFVV0Ti1VJoqy/TPMeqXem2l0+gXqvcQxysqvZ0BdQxA5 XCt37gHFWOx6tf8A/K053/Qt5y/QcK+lzs+VPrkh5f70cadutcVT/VfR1e1+qat5Tm1C05LJ9Xul 06ePmv2W4SXDLUdjiqKj1W9ijWKLy/epGgCoitYBVUCgAAudgMVXfpnUf+rDff8AB2P/AGU4q818 xfmvr1h+dflnyunlW6+rX9s8Ut3Iylgl1IheVPQM0fCD6sC9XrQnptVV6zLfWUV1BaS3Ecd3ch2t rdnUSSCKhkKITyYJyHKnSuKoGDzX5XuLiztoNYsZbjUI/XsIUuYWeeLf95Coasi7faWoxVanm/ym 9jdagmt2DWFi3C9uxdQmGFq04yyBuKGv8xxVHWeo6fe2SX9ndRXNjKvOK6hdZImUftK6kqRt1riq BuvN/lO0sze3Wt2FvZiCG6NzLdQpF9XuWKwTc2YL6crKQjVox6Yqio9Z0eTSjq8d9bvpIia4OorK ht/RUFml9YHhwABJatMVS/TvPfkfU+X6N8w6Ze8Ekkf6teW8tEgCtKx4O1FjDqWPaor1xVrTfP3k XVNRXTNM8x6Xfak/IJZW17bzTkoCz0jR2c8QpJ22xVPcVSTzz/yhXmD/ALZt5/yYfFUT5Z/5RvSv +YO3/wCTS4qv1fSF1BIXjne0vbV/UtLuKnJGIoysrVV43GzoevUUYKwVYroflgXBntJfMkOqWAhh s7mytIo4yILYvwt3dZJW9OkrBwfjboWpUFVnOKsSj/8AJsz/APbBh/6jJcVU/wAzfOOpeV9NsJ7C OMteXTQSzyQTXnpIlrNcVW1tmSeUsYOJ4H4AS7fCpxVP/LGryaz5a0nWJYDay6lZW929q25iaeJZ DGem6lqYqmWKpVc/8pVp3/MDff8AJ60xVI/zP8m6v5m0a3XQryPTtespi9lezBiqR3ET2tyDwBNT BO7LtTmFr44qxzUfyk1UWGt+XNJbT4fL+uNbML+5Vpb6yS1s4LRIreIx+mxQWweGQyKYmJIUnfFX aj5W163k0jWNUPl6wu9Be0XTbRpHjguxawXMJWe6eFHjAFz6kEaxSCJ1rVqmiq3TvMEOgWuq2bxR alceYbq41CeTS77TBYWT3KiH0VN5d2c70EQlkZYfiZmIFTTFWJ2H5eNFY6dA3nvT5pbSy8t2n1r6 zZ87Z9DvnuLhLXjbrGyIrfuPXR2LbSbYqy65jtJfKOr/AJa+WLW41EahYX9vFrz3elyW/rakkjy3 E6R3KXQUT3B5+na7fsrSmKoDWPyr/MHzL5dsdI17ULT1NNlkuoLuWaO9dnSBYoLU+jp2lKtrKxf6 wpV2Zfhqa/Cqz3QvLuqWvm/WPMF6YEXVdP0u2+rwO7+nNZG6abdkjqn+lLwPU0NQMVZNiqSeef8A lCvMH/bNvP8Akw+Konyz/wAo3pX/ADB2/wDyaXFUh896iItS0bTrhYH067+sy3kd1P8AVoG9BU4L O/CWsJMu6kfE3AHaoKqQ3Wn2enWmmvb6haXF9dWmnRWd22oSNLBK8hjN5ZK4lDwv63JkXgjonFvh 6Ksw0zzJqV5ptpeHQL5TcwxzFQ9lQc1DUHK5U9+4xVjserX/APytOd/0Lecv0HCvpc7PlT65IeX+ 9HGnbrXFWQam8eq2v1TVPKs9/alg/wBXuRp00fJTVW4PcMKjtiqLGsaiBQaDfADoOdj/ANlOKu/T Oo/9WG+/4Ox/7KcVSu41jUP8T6e36DvQRZXoC87KprLa7/7002piqafpnUf+rDff8HY/9lOKu/TO o/8AVhvv+Dsf+ynFXfpnUf8Aqw33/B2P/ZTirv0zqP8A1Yb7/g7H/spxVd5dguodNIuoGt5pLm7n 9FyjMqzXUsqcjGzpXg46McVTPFXYq7FXYqknnn/lCvMH/bNvP+TD4qifLP8Ayjelf8wdv/yaXFV+ raUL4QyxTG1v7Vi9pdqAxQsKMrIdnjcbMp+YIYKwVYxpPky6ZZrO68wLqGntBb2dzaQQRwt9XtjJ wgMiySERuJGV6jmR+0N6qs2AAFBsB0GKsSj/APJsz/8AbBh/6jJcVV/zC8y6t5c0ODUtOtre5rqF haXX1iR04Q3l5Fas8aoreo4MwoCygfaqacWVSjyb+YWp655puNMubWKKzlXUpLMRhxPbjStRGnOl 0WZlLzk+qnFV4gEfF9rFWe4qlVz/AMpVp3/MDff8nrTFU1xV2KuxV2KuxV2KuxV2KuxVJPPP/KFe YP8Atm3n/Jh8VRPln/lG9K/5g7f/AJNLiqQ+e9TSK/0jS7kQNp1/9Ze7iupvq0D+gqcEnk4yfuiZ PiXj8TcVPwkgqsen0ew0a106W2urEX13badHaXa3ziSG4eQxtc2isjiSB/WUsg4I6LQimKsz03zU 13p1rdtpd6jXEMcrIIagF1DUBqK9cVY7Hrf/ACFOeT6hef8AHDiXh6Pxf72SGtK9MVZLd6nZ3kPo XejXVzDzST0pbZXXnE4kjbixIqjoGU9iAcVU7a70y1u7m8ttCuILy9Km8uY7REkmKDihldSGfiNh y6Yqiv0//wBq2+/5E/8AN2KvNPMf5zSad+dXlrykvl67ddRt3ga8kJjZfrksZ9RIuLc0i+rfGeQ6 n+XdV61PfWUE9vbz3EcVxdsyWkLuqvKyIZGWNSauVRSxA7CuKoFPNfleS4tbZNYsWuL3kLKFbmEv MY3Mb+koar8XUqePQgjFV0Xmby3K18kWrWcjaZUakq3ERNsR19cBv3f+ypiqI0zVdM1WzjvtMvIL +yl3iuraRJomH+S6FlP34qg7nzd5TtbKO/utasILGWD63FdS3UKRNb80j9ZXZgpj5zIvKtKso7jF UVpOs6PrFmt9pF9b6jZOSEurSVJ4iR1AeMsu3zxVLdN8/wDkTVLlLXTPMel31zI4iSC2vbeZ2kZW YIFR2JYrGxp4A+GKrIvzF/L6bUI9Nh8z6TJqMsiwxWSX1s07yOQFRYw/MsxOwAxVkOKpJ55/5Qrz B/2zbz/kw+Konyz/AMo3pX/MHb/8mlxVfq2lG99CeCc2t/aMXtboDkByFHSRKjnG4+0tR2IIYKwV Y1pfkzUeM9ne6wt1pzQQ2F1bxRortb2/PjDyG8assxDg83I6OOpVZoAAKDYDoMVYlH/5Nmf/ALYM P/UZLiqv+YXmXVvLmhwalp1tb3NdQsLS6+sSOnCG8vIrVnjVFb1HBmFAWUD7VTTiyrtE8y6teeef Mfl+8treGz0i2065sZoZHkklW9a6DGXksaoR9WFEWtOvI1oFWTYqlVz/AMpVp3/MDff8nrTFUs/M Xyxq2v8Al9U0O4hs/MOn3MV9o13cBjFHcRGh9TiGbi8TvG1B0bFWNaV+VuqaCZdK0oafdaHenSzc 3N+He5hGmRxRsIoeDpI0no+qjtKpjlZnoxxVLZ/IGr2XlnS9I1a60Kxt9At7S10u8kqHvns7u2u6 XTyJGYY5xaUmijaTkzcydguKo7Q/NKeXtQ1Sa6t4tQn8w3q6hcDSNQ0xrGzPoRWvphr25sJ5HIt/ VkdYPiLbCu2KsHh/LKZdF0SyPn2wnk07SrbTnL3NnwtZYNV0++b6mUtlLoEsJAv1jmeXGuxbFWee T7+58u6nrGnWyT+cW1fUDqa6rZXOk+qI3gggb63E09hSRGhP9xBw4cf2+WKpBpP5Q/mJN5At/I2u 6nbDT4vqcSX0Nyk8trFacnL2Ma6bYvHKzKigyzy8Vqa1FGVZr5a8ma3Y635d1K++pRLo+g3Oi3EF lzEZkkntXieFGRAsfp2hqv7JIA5DfFWb4qknnn/lCvMH/bNvP+TD4qifLP8Ayjelf8wdv/yaXFUg 8+6mIdQ0bTJ2iXT783D3Sz3BtIpPq6oUjmlCyH0m5nktPiPFT8JYFVjs+gafoEGn3FpPYw6le2+n wW14l66yR3Mkxjea2RkYTW8jTqWjHFGVdx0oqzXTfNf13TrW8/Rd8n1mGObiIageooala79cVY7H rf8AyFOeT6hef8cOJeHo/F/vZIa0r0xVkt3qdneQ+hd6NdXMPNJPSltldecTiSNuLEiqOgZT2IBx VyanZx3ct4mjXSXc6JHPcLbKJHSIsY1dweTBDI3EHpU+OKq36f8A+1bff8if+bsVSu413/nZ9Pb9 HXu1leino77y2vv7Yqmn6f8A+1bff8if+bsVd+n/APtW33/In/m7FXfp/wD7Vt9/yJ/5uxV36f8A +1bff8if+bsVXeW4549Mb1onheW6vJhHIAGCTXUsiVArSqODiqZ4q7FXYq7FUk88/wDKFeYP+2be f8mHxVE+Wf8AlG9K/wCYO3/5NLirer6Q161vc21wbPUbNma1uQocUcAPFKhK84pKDkvIGoBBDAEK sb0/yRraxvaXuqf7jpLeCxniRVaSS1ti/GNJFjh9EOJWWSokYr9l1O+Ks1ACgACgGwA6AYqxKP8A 8mzP/wBsGH/qMlxVHedfNbeWNKg1H9Hy38c17Z2UnpPHGsIvLhLcSyF2DFVaUCiKxJpsFqyqoDy/ 58uNV84ah5cm0v6qbOKWYTCdZpEWKcQoLqJUAt2uFPqwLzYtH8R49MVZdiqVXP8AylWnf8wN9/ye tMVTXFXYq7FXYq7FXYq7FXYq7FUk88/8oV5g/wC2bef8mHxVE+Wf+Ub0r/mDt/8Ak0uKpH561f6t f6Ppkrxx2WoG4e4M1wbSN/q6oVilmCuyxt6lTxHxEKp+FmBVY0dDsvLdvZXFhJptrqWoW9hFDcx3 jIfrkkpjeWGPhSe2keZC0Y4KVXoDSirNtO846beafbXgt75BcxJNwFjeuB6ihqclh4tSvUYqxyPz DYf8rTnl9K84nQ4Vp9RvOVfrkh+x6XKnvSmKp9q975c1iy+o6lZ31xa+rBP6ZsdQX95bTJcQtVIl PwyxK1O9N9sVQGhWPk/QtQvb/TLfWIptQklnuo5E1qe3aWeT1JZFt5hJAjs37SID26Yqnn+JtO/3 zff9w++/6o4q848wfnXpWn/nL5c8pDSr2WTULdrf628UkHFr2WPgwilRXZE+rfG2wFfY4q9cxV2K uxV2KuxV2KuxV2KuxVJPPP8AyhXmD/tm3n/Jh8VRPln/AJRvSv8AmDt/+TS4qu1bS5bsw3NpOLXU rTkbW4ZfUSjgB45UDIXjegqoYbgEEEYqxvTfJmuLC9le33CwltrexuVjdZZHtrb1KRRMsFr6If1S HY+o3H7JVvjxVmaqqqFUBVUUVRsAB2GKsTj/APJsz/8AbBh/6jJcVZbirsVdiqT3cEDeb9MlaNWl jsb705CAWWstoDQ9R1xVOMVdirsVdirsVdirsVdirsVSTzz/AMoV5g/7Zt5/yYfFW/LWpacPLulA 3UIIs7cEGRf99L74qmP6T03/AJa4f+Ri/wBcVd+k9N/5a4f+Ri/1xV36T03/AJa4f+Ri/wBcVYva 3EE35r3DQyLKo0GEEowYV+uS+GKsxxV2KuxVKrn/AJSrTv8AmBvv+T1piqa4q7FXYq7FXYq7FXYq 7FXYqsngguIJIJ41mgmUxyxSAMjowoysp2II2IOKse/5Vp+XH/UqaP8A9w+1/wCqeKu/5Vp+XH/U qaP/ANw+1/6p4q7/AJVp+XH/AFKmj/8AcPtf+qeKu/5Vp+XH/UqaP/3D7X/qniqYaP5V8r6LJJLo 2j2OmSzKFleztoYGdQagMY1UkV8cVTTFXYq7FUquf+Uq07/mBvv+T1piqa4q7FXYq7FXYq7FXYq7 FXYq7FXYq7FXYq7FXYq7FXYqo3cEs8BjiuJLVzSk0IjLih7CVJE3/wBXFWL3Ecaaks8mr62bm1WW 3Vk04OnGRkL0ZLAq1TCtGB+WKq2va/L5Y0+1kaeXVbzVrqOz06K+aG0jErRyTMZZYoFMUaRQu7kx sRx2FcVYRP8A85GaRbpcPLpoaKHRrjVI7uC8iuLWS7t5buNbIXEKun779HuYpf2q0KhvhKrIPK35 pXes6pawXekJZWGpahqOl6XdR3RnkefTDKZPXhMEIiEiW7spV36UNNsVZ/irsVdirsVdirsVdirs VdirsVdirsVdirsVdiqHltpnu451u5Y4kFGtVERjfruxaNpP+BcYqoa3oOl63Zi01GJpI0dZYnjk kgmilWoWSKaFo5YnAJHJGBoTiqQH8pfy8aw1HT5NHWW01aH6vqKSzTyGVBcS3W7vIzh/XuHk5ghu R67CiqL0X8vPKOi6m+padZyR3TyTzL6lzczxRSXbl7h4IZpJIoDKx+MxKtcVZHirsVdirsVdirsV dirsVdirsVdirsVdirsVee/mB5i886XqNzBoNpc3ZuLfTjpEcFo08JuF1BhqCTTKjJCGtGShlZR1 KnlirELb8x/zXtpvqtppGq6rb85Q19qmhTQS/Bbozuot3tU9FJOTRAxepL/dUDL6jKsh8j/mV5qm urj/AB5b2vl+yit4TFcT211p0UlzIvIpDPev6ctVDNwIR06EEh+CqaaJ+YWt3b6dfazpKeX9Bntb qW/ur1p4/Qnjktkt43e4htFT1PrEi/EPjZfgJUcmVSO8/M38wo9YmNp5dS88spqNosmtqvC3g0uW aRJrn1pJlS5rCI5hJCPTiVm9T7G6rvOX5meeoLy5Pk6wt9X06kQsruOyv9QgdSV9a4NzYM6NwfnD 9XVfUBHqE8NsVQ+mfmP+bl15msbJvLB/Rtzez29w8un31q0McfplWkuHkkgCBWf98vL1GXisYBDl VPPIXnTz5rPmFbHXdHbTrRdLhurh2sLy3RbyWK3doorqZ2ik4NJKHQopWi0Zzz4KvRMVdirsVf/Z xmp.did:CD256E1165BEE1118C47EEACA5DFFF40 xmp.iid:CD256E1165BEE1118C47EEACA5DFFF40 uuid:D9098E846DDDDF11A669AA5AACADF97C proof:pdf xmp.iid:65260F2DE63BE111B456AA8A1489FC3D xmp.did:65260F2DE63BE111B456AA8A1489FC3D uuid:D9098E846DDDDF11A669AA5AACADF97C proof:pdf saved xmp.iid:BA2AA763FA86E011A2FF8F158748F4E1 2011-05-25T13:28:36-07:00 Adobe Illustrator CS5 / saved xmp.iid:022C86193A37E111B322E735D87BBC1E 2012-01-04T17:19:17-08:00 Adobe Illustrator CS5 / converted from application/postscript to application/vnd.adobe.illustrator saved xmp.iid:65260F2DE63BE111B456AA8A1489FC3D 2012-01-10T22:24:14-08:00 Adobe Illustrator CS5 / converted from application/postscript to application/vnd.adobe.illustrator saved xmp.iid:CD256E1165BEE1118C47EEACA5DFFF40 2012-06-24T18:28:29-07:00 Adobe Illustrator CS5 / converted from application/postscript to application/vnd.adobe.illustrator Basic RGB 1 False False 6.833333 3.249993 Inches Helvetica Helvetica Medium Type 1 003.001 False HV______.PFB; HV______.PFM Cyan Magenta Yellow Black Default Swatch Group 0 K=100 GRAY PROCESS 255 K=90 GRAY PROCESS 229 K=80 GRAY PROCESS 203 K=70 GRAY PROCESS 178 K=60 GRAY PROCESS 152 K=50 GRAY PROCESS 127 K=40 GRAY PROCESS 101 K=30 GRAY PROCESS 76 K=20 GRAY PROCESS 50 K=10 GRAY PROCESS 25 K=5 GRAY PROCESS 12 White RGB PROCESS 255 255 255 Adobe PDF library 9.90 endstream endobj 211 0 obj << /Annots [212 0 R 213 0 R 214 0 R 215 0 R 216 0 R 217 0 R 218 0 R 219 0 R 220 0 R 221 0 R 226 0 R] /Contents [227 0 R 224 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 1417 0 R /Resources 222 0 R /Rotate 0 /Type /Page >> endobj 212 0 obj << /A << /S /URI /URI (http://www.xilinx.com) >> /Border [0 0 0] /Rect [275.58 26.4 336.42 37.56] /Subtype /Link /Type /Annot >> endobj 213 0 obj << /A << /S /URI /URI (http://www.ti.com/ww/en/digital-power/index.html) >> /Border [0 0 0] /Rect [244.44 207.9 415.86 219.9] /Subtype /Link /Type /Annot >> endobj 214 0 obj << /Border [0 0 0] /Dest (G3.466777) /Rect [278.82 676.08 323.28 688.08] /Subtype /Link /Type /Annot >> endobj 215 0 obj << /Border [0 0 0] /Dest (M4.9.41793.AppendixTitle.AppendixTitle) /Rect [216.48 371.22 439.56 383.28] /Subtype /Link /Type /Annot >> endobj 216 0 obj << /Border [0 0 0] /Dest (G3.473213) /Rect [538.86 289.14 543.84 301.14] /Subtype /Link /Type /Annot >> endobj 217 0 obj << /Border [0 0 0] /Dest (G3.473143) /Rect [162 277.14 206.4 289.14] /Subtype /Link /Type /Annot >> endobj 218 0 obj << /Border [0 0 0] /Dest (G3.473223) /Rect [192.9 450.54 197.94 461.04] /Subtype /Link /Type /Annot >> endobj 219 0 obj << /Border [0 0 0] /Dest (G3.473143) /Rect [202.38 450.54 243.36 461.04] /Subtype /Link /Type /Annot >> endobj 220 0 obj << /Border [0 0 0] /Dest (G3.473143) /Rect [368.22 652.08 412.68 664.08] /Subtype /Link /Type /Annot >> endobj 221 0 obj << /Border [0 0 0] /Dest (G3.473243) /Rect [459.42 652.08 464.4 664.08] /Subtype /Link /Type /Annot >> endobj 222 0 obj << /ColorSpace << /Cs8 1435 0 R >> /ExtGState << /GS1 1436 0 R /GS2 803 0 R >> /Font << /F1 1437 0 R /F10 804 0 R /F11 810 0 R /F2 1438 0 R /F3 769 0 R /F4 772 0 R /F8 800 0 R /F9 797 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC16 792 0 R /MC17 223 0 R >> /XObject << /Iabc1765 225 0 R >> >> endobj 223 0 obj << /Metadata 228 0 R >> endobj 224 0 obj << /Length 18 >> stream q /Iabc1765 Do Q endstream endobj 225 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc1766 780 0 R /Gabc1767 782 0 R >> /Font << /Fabc1768 791 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫@>)W^.P$MZ\1h!sw4_PE1qdbE0EH(.X*w197JIx5s剢xy"q-lb@r2dv"& g5kDN 9]%8 sqE fѯG$DS3Ră;6+IriK[Zߖj?'=ʡ|~72ْԑJ_%+VK2ufg[XLZ[/d"Xl˒5_@ʧ9 ?d#98` dT:I*dx)UD=ΡLH9vhwe™piE;i z7}9 endstream endobj 226 0 obj << /A << /S /URI /Type /Action /URI (http://www.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG932&Title=Kintex-7%20FPGA%20KC7\ 24%20GTX%20Transceiver%20Characterization%20Board&releaseVersion=2.2&docPage=13) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 227 0 obj << /Filter /FlateDecode /Length 5066 >> stream x[]s8}w]r+b}KI67LdjR$֔,y$9_)JV,_ ߎ9;z=~6G.McP!ºpm[B΢֔(ƬώBe*mcBYˆ |M66V\SN;=~n5'G-(MkGEYʜLh\qQ(m3ǢN::/lLf:lUgks|>^';WKt5^ĴiW`+cdr*3e*RcYc/I=dՅ2ƫ@u4TOqS PάO~q: UѴޛ-;-3̧+ܧܼlW_ [j#J*ɣT٨"H_HPӊe+>A76ێgCOmf6zz.}1kxӘɆ„`Aht-Efr!/\=0+8b?OGRD~o;`mmG"%۹UQJh^ V2}u܎v *Gpާ_R:j\`U nrDnz (x2[B!d,bEF,~ GS`=i XĶCm^p{TQ{Xh㡭iVE$㺮͝\B蹪^,`ǟ-mbA]T>`_6tN `S,68˷ׅZ~Sty* BE$0J?[ o#=o[grEK0^VW ȝS9 <)p J舍 jHmH)G| :UvC)UtqK-gm!|' C(`"6-6fK3  6Qb۳G@s=q!utN`\0F`TnI\FE93(#k*ڊ9Q`H!@/M@]$("شk,D#Lш6pEV%A!I4vMqUJ#*3bdM*A>B #2mAGPTiFZ\LWժnAmDYp߲OQ&lH5k*KՕuD&/iYYȢ:F*DTT7/mPڠ,<3o_PgNۼB~ #jYTV[mՖkc!uA-hSexrJ8ֹATȗkw K]xi8qJ>P֔KU/J;m>sƝ+l ʮaK6;7iF\jmp1TX`CSk2[|HKu|Z9Ή4X§ {l|l?2G1o|u4 }hvMo׃J9+_)c 3; RlMR'z. b$#?1Ȁ 7? NDx&AHxW!VELlúaʨE "\/ Su65ALyŔs`G*hcV16Vst.WJ0uuy Ł,̧rtB`іI;V8롓Ld-T!nNWk04z<_;LĔCzW=LAuUFLEYh2,C5GpexXB=`u_d ϑHɒK'K,|d| P?EuGXXAANDNГłq%<#aw-G@\<:)}92:Y9[j2,:%^.Ɠ4Ie)13W`y y?u.}fi7]߿ruǡ#*uGrmC9;PLTi6:T+@`,w瑕4W7y(A՚0CUf+,49Ny <$l MP<G2ݭNJm^,^*Yj(-Y4`/ bLŗ %"K5ImW#l0fF.J[39󙢐MAjHd;ūFr6|:Z[@hjE"}+05F·_-yb>qm%8?*r^W]a9UcH B} ijDhDb\X|kJ8 ysb|'JD~3ƛ4B[_Yi5vtL'2ӑasRlgILO1[UHZ~ rZe;/q=2[PCl #}mnf\%V3 lU"pvyl͠WNs]\-U0 I>dҼaI$cs_!oN'㚃Y%6B?Suf㥤BSYҵdɇI)ٔT%m .bxKFI`%L跘7V=HJ_$~u> 7Rm#t%KF%"o' U= #.GWQUOǴtD,pMujC :ްbj,׫5s-c.M\lg3z=[nL3ܖ-a Sw! eMnrfwMqaZ"ZUe;A _b5S3)p ^Y?m)qZ6I@ON!pƼn[@R?~#X LOgw;^hoZ)g:v|>I-UZ/ `Zo" ?x|Q*A䳩 &H;ܘ QN dłSA2yiz|oө8'5iNȤ(S D>Y}ٛ &~޾&6{RVº>fܽ0nQcS4AF@_ti+_L~MyWD"]`!u-WNMJeVó Tdnf#k`EUJ♝3[dv3+C+(]ѽ2GsoՋU7]PG+@lϻrEJwd:#!t%r5U%"tg6lVވOyW!Vt; w'!iz7zE|y r0B&`yHCFM3vvGrJaxqLCzKeFs^Oa }ǍdNSⱺP9D+ ]hMϮYpO^ěgxh:>y7ݘ'ak??4՘;'60}vO336 \ y1dxUS>TIst,GxT.CPpƯ;j(t^W!vS5'{עdw39Ws - x4b"w{B;]l*LYeM2^ ~g)ͻVe#G+g&p?,ɓy$P M(A@l.0iul]Q%ٓ|U}kW4V-sxc޿1Ty~<e'!@I"֤\×UhpܼA+ ?c<"/5xX7(0P TVDIxEZE(~-`eb|Mf<}Wh\ʼ6 oxCT-,dKd^O#\xX=Wxg9&AMWx ѣ*en猦2 0cA٩Kd# %%i CbQB>3 숄J(t8~t[Em"mTD/E)E$kNu QH!a"':`H.َ"#{TutSrV΂P$rCքv?'`l\ȷ;7Hḡtb>dҽWeYC|?sS 6=#Q jFpbhbGpbhCߑqR|X-o1'<2kM X>X>{y7Z:+x}v@oxd~M!c0!PDzm5Nx@4Up)C"=P[AUgApB '2I BBxNBj!5=CeH4`(E}K;=; ء]zFݓus/u{ 'X2(`tұ)b11h'p)ZHvwyr #o_ac\etutoG ) endstream endobj 228 0 obj << /Length 35158 /Subtype /XML /Type /Metadata >> stream application/postscript For use with FigureWide tables used in Xilinx FrameMaker document templates. ug810_cX_NN Adobe Illustrator CS5 2012-06-28T16:02:47-07:00 2012-06-28T16:02:47-07:00 2012-06-28T16:02:47-07:00 256 224 JPEG /9j/4AAQSkZJRgABAgEASABIAAD/7QAsUGhvdG9zaG9wIDMuMAA4QklNA+0AAAAAABAASAAAAAEA AQBIAAAAAQAB/+4ADkFkb2JlAGTAAAAAAf/bAIQABgQEBAUEBgUFBgkGBQYJCwgGBggLDAoKCwoK DBAMDAwMDAwQDA4PEA8ODBMTFBQTExwbGxscHx8fHx8fHx8fHwEHBwcNDA0YEBAYGhURFRofHx8f Hx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8f/8AAEQgA4AEAAwER AAIRAQMRAf/EAaIAAAAHAQEBAQEAAAAAAAAAAAQFAwIGAQAHCAkKCwEAAgIDAQEBAQEAAAAAAAAA AQACAwQFBgcICQoLEAACAQMDAgQCBgcDBAIGAnMBAgMRBAAFIRIxQVEGE2EicYEUMpGhBxWxQiPB UtHhMxZi8CRygvElQzRTkqKyY3PCNUQnk6OzNhdUZHTD0uIIJoMJChgZhJRFRqS0VtNVKBry4/PE 1OT0ZXWFlaW1xdXl9WZ2hpamtsbW5vY3R1dnd4eXp7fH1+f3OEhYaHiImKi4yNjo+Ck5SVlpeYmZ qbnJ2en5KjpKWmp6ipqqusra6voRAAICAQIDBQUEBQYECAMDbQEAAhEDBCESMUEFURNhIgZxgZEy obHwFMHR4SNCFVJicvEzJDRDghaSUyWiY7LCB3PSNeJEgxdUkwgJChgZJjZFGidkdFU38qOzwygp 0+PzhJSktMTU5PRldYWVpbXF1eX1RlZmdoaWprbG1ub2R1dnd4eXp7fH1+f3OEhYaHiImKi4yNjo +DlJWWl5iZmpucnZ6fkqOkpaanqKmqq6ytrq+v/aAAwDAQACEQMRAD8A9U4q7FXYq7FXYq7FXYq7 FXYq8Z87+fNat/P+paHe+cI/IVhaw250Oe5soZoNReWLnNI9zdAxhIZP3ZRGU++KpraedvNmn+aP KR8yXNsmla7od417DZmOW1XUbALctcxTgFykltzKrypQeIrirDNJ/Nz8wpvy38xyX1wsfm+e502T y0whh+C08wmL6ivp8ODmL96CWUk8d64qzzXvOPnGx/NXyd5XWykg0C/kvEvNYla1YX7Qaa86pHEh aWIJKKsSqVIoPh6qpHq/m/8AMO8sPOfnDRtVis9K8m3l3bW+gPbRSR3sekqGvHuLhh6ytIQ6x+kV 40FeWKvW9Lv4tR0y01CEFYbyGO4jDdQsqBxX3ocVROKuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV 2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV59rXl38zYdU1ZdHn0jWtA1eQT/AFDzAbkm0cxrG8cXpJKk kDcOYjIWhJ33xVjnmT8idUu/ym0PybpOqRR6to87yfpKQNEnpXgnS9ijCrKVT0rt1jXwCivfFU58 yflJLqP5j+UvMNlNDb6HokaJqVgSweU2IkbTfTAVlPpSTsW5MKClK9lWQ+ZvKmo6p518na5byQra eXp76W9SRmEjrdWT2yekArKSHcFuRG3j0xVies/ll53KeZ/L+iahYQ+VPOF1Nd6hcXAm+v2n11VS +jt0RTDKJQpKl2XjyP2sVeoWVnb2VnBZ2y8Le2jSGFOtEjUKo+4YqrYq7FXYq7FXYq7FXYq7FXYq 7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXzjNY2Ul1dySW8bu1zcFnZFJJ9Z+pIxVb+ jdO/5ZYf+Ra/0xV36N07/llh/wCRa/0xV36N07/llh/5Fr/TFXfo3Tv+WWH/AJFr/TFXfo3Tv+WW H/kWv9MVd+jdO/5ZYf8AkWv9MVd+jdO/5ZYf+Ra/0xV36N07/llh/wCRa/0xV36N07/llh/5Fr/T FWvqNlFLBJHbxpIs8JV1RQQfVXcEDFX0jirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirs VdirsVdir53b+/uf+Yi4/wCTz4q7FXYq7FXYq7FXYq7FWQ6Vc/o7y7LqNtBFLetdCB5ZUWX0ovT5 LxVqgc2rv7YqtuHt9Y0i7vjbR21/YGMyvAvCOWOVuHxIPhDqxG464qx2XrF/xmh/5Orir6LxV2Ku xV2KuxV2KuxV2KuxV2KuxV2KvKX/ADe87SQeYtTsPJcV5oPlu+v7K6uxqqR3DppsjLNKlu9tSvFe QT1PauKss0T8wdI1MT3jy2tnoos9MvbS+nu4VlZdVDGJZ7c0a3JPBY+TfvC1F6YqnWj+Y/L2tpM+ japaamlu/pztZzxXAjf+VzGzcT7HFWO+bPzS8veX/NGheV+aXWva3eRW4sVk4yQwShj9Zf4W+EFK Bdq19sVZlirsVdirwbyX/wA5BxQfmx5k8g+bJ0igTVLmHQNSeihf3pC2szdP+MbH/VPbFXvOKvnd v7+5/wCYi4/5PPirsVQuq331DS7y+4ep9Ugkn9OvHl6aFuNaGlaeGKsJX8zNYZQw0W3owBH+nP3/ AOjXFW/+Vlaz/wBWW2/6Tn/7JcVd/wArK1n/AKstt/0nP/2S4qzXyHY+fPOulXGp6VpulwwW1y9m 63Wo3COZERJCQI7CQcaSjviqaeYfJ/5k6DoGp65eWOjSWmlWk97cJDqV0ZGjt42lcIG09VLFV2qR 88VTXy1qOl2ayi5nmhkmPGRDClxayR0FBJGSrVBr0xVOPMVlcp5ce4shZ22lyOjSrFFNbzTMGAX4 ZqllUtXY4qwWXrF/xmh/5Orir6LxVI/NPm/TPLQ0t9RjmMGq38OmR3EQQxwzXNRE0xZlKxll48gD uRiqhrvn3QdE8w2eh3xkE91Z3epT3KhPQtbSyCmSa5ZmVkVi3FeKtv1piqQ2H52eXLmWykuNL1jT dG1KRIdP8wX1mYbCZpjSE8+RkjWUkcGljUGvXFUdr/5paTpeuT6FZaXqnmDVLJEl1K30e2FwLVZR yj9d3eJAzqOSoGLEdsVVNO/NLyrqU/lyKwaec+Zpbu2tGEYQwTWELzXEV0kjJJE6+mV48T8Xtviq F0D84/KWu+UfMHmexW5+q+WvrZ1OzdIxdD6nG0jcEEhQiRUPpkuAe9KGiqC1z867LRxZSS+VdfuL PUntIdPvbeC0aGaa/RWhiQvdI3Il+Bqv2ge2+Ksz8ua3LrOmrey6Xe6O5dkNlqKRxzjj+0RFJMlG 7fFiqZ4q7FXYq8W8nflBaa0PNsnmOXW7W2v/ADHq7HSRe3lnZXNpJdMyObdGjR45lP2h9od8VQX5 g+TdQuZfP9hZ6LPLpl5D5QtrKCC3kMUsNpqDfWI4Qi0ZYYt3C/ZXrTFWcaP5e/Rv5yanc2Gm/UtI n8u2MZmgh9K2e5jvLmqclURmRYiu3ULTtiq/809N1G9vPIrWdrNcraeaLS5uzDG0gigW1ulaWTiD wQM6gsdtxirO8VdirsVfnZ+aej6prP53+ZtK0q2kvNRvNZuYra2iFXdzKdh+sk7AbnFX3b+Wmh+Z NC8j6RpXmTUP0nrFrAqXNzQCn8sfL9v01onM7tSpxV5DEYBfSmcM0Au5vVVCAxT125BSaitOmKp/ Y2nkiW5DSX1zBBxdTDcxVNWQhWEkLfssQd13xVh/na2W20HW4VmjuFSyuKTRElGBhY7VAOKvJIP7 mP8A1R+rFV+KuxV9G/8AOL//ACg2q/8AbZn/AOoa3xVm35sf+Ss85f8AbD1L/qDkxVgPlvzDp2lw yJPY+pcO1Uv4ynrRigFEEiOvY74qp6xLpF7FJeR6ndzXYpxt7yPkzVYA0lVmUUG/TFUgl6xf8Zof +Tq4q+i8VYz+ZflVvNXkbWNEiPG8uIC9hL0KXcJEtu4PbjKi4q8YtbDXvzX8i+e/N1vbPHquqaZb aJo1q44PSwRbm9iUHYCa8eSMV/lxVnEv5y+U9Z0mx0fy/px1nzFdyW0DeVpreWM2hEi+q156kZWF LYKW5EdVFPHFUFoPm3Qfy683edLDzjOdM/TWrNrGk6lLFK0N1bXEEUYhjkRWBeB4ypjPxb7AjFVB NXn1nzz+WurSaN+hIb3Vtfls7d19Oaa3OnTCO6njKoUknA5lTU9N8VYA2l32j/kbcecdIhMq3tl5 h0TzLaxjeW2uL++S1uqfzWs8m5/32zeGKvS/On/kv/yy/wC255Y/5OR4q9dxV2KuxV2KuxV2KuxV 2KuxV2KuxVgXkj8otD8tebvMXm+Qi81/XryecXDLQW1vLIWWGKvc7F279Og3VZ7ir53b+/uf+Yi4 /wCTz4q7FVK8t7e5tJ7a5XlbzxtHMpJWqOpVhUEEbHFWLjyJ5EAoBIAOg/SF3/1XxVv/AAL5F/4s /wC4jef9V8Vd/gXyL/xZ/wBxG8/6r4qjtNsdA0eF7XTNZvtOhdzLJBba1fwKZCAC7Klyo5EKBXFV W7fS7y1ms7zzFqNzaXMbQ3FvNruovHJG4Kujo10VZWU0IPXFU5xV2KrJesX/ABmh/wCTq4q+i8Vd irsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVeA6baLea0tm7FUub94WYdQHuGUk ffiqPvfLrQ38MEN1E9rdFxbXcp9JC0dQyPWvFgwp4bjscVYv5ztp7Xy/rdvcIY5orO5V0PUERNir xyHS9NMKE2kNeI/3Wnh8sVX/AKL0z/lkh/5Fp/TFXfovTP8Alkh/5Fp/TFXv/wDzjh5M8n6l5M1O fUdC0+9mTVpo0luLWCVggt4CFDOjGlWO2Ksw/M/yB5Etfy0823Nt5c0uC5g0bUJIJ47K3R0dLWRl dGVAVZSKgjFXn+KuxVZL1i/4zQ/8nVxV9F4qwP8AOa51rS/KMfmTSbieKXy3e2+qXlvA7otzZRPx u4JVUgOnouz0buoxVh35k/mHq9n5g1vXdEupJNI8k+XxdGGORlt7jU9ZYJaevGpCzJDAvqgN05dq 1xVkUP5c+bNLtbLV7TzvqVx5gikhl1L9J3Hq6VcqzL9Yi+qBQkKlS3pmKhU03OKoLTLLV/zD80ea przX9U0jR/L2pNoumadpFybImW3hjkmuZ5EHOUu0w4KTxAHQ4qu856x5o8q/ldNpl7r0d55j1G9G g6LrS0ilH1yX04prgrwVJ4ICzuy0FVr74qxbS/OutSeS9C0ptZuLjV9B88WGgalqCXDmS8tfrv7t 5ZFasiT27qGqSGoa4q9B833t+v5reRtMju54dPv7PXPrttDNJEkpiitvTZwjLVo+bcG6rXamKsA0 zWfOF150H5Mza7Kw0q5bUbvzKl2y6jcaQvCaGy9RWEv1nlKElcEH0xXvir30CgpirsVdirsVdirs VdirsVdirsVdirsVfPtrdS2mpPdRU9W3vJZY67jkk7MK/SMVTGz1uD05rbUrb63ZzSmcIjmN45T1 ZGo2xGxBGKpN53nm1uz1c20HCW7tZILa35A/7o9KNSzUFdhUnFXk8flvzcsaqdCuKqAD++suw/5i MVb/AMO+bv8AqxXP/I6y/wCyjFXf4d83f9WK5/5HWX/ZRir1n8m/O935K8t3umar5Z1Sae5v5LxG tX010EbxRRgEyXkZ5ViPbFWQ+d/zZXXvJev6HZ+VtZju9V027srd5m0sRrJcQPEhcrfMwUM29Afl irHsVdiqyXrF/wAZof8Ak6uKvovFVG9s7a+s57K6jEttdRvDPEejRyKVZT8wcVYF5J/JnSNA8jap 5T1W8fXotZ5pqN5MnpSvD6KW0MezOR6MMSKpB6jkKYqh4/yl8xXcFlo/mPzjPrPlWwkhlTSjZwwT XAtXDwR3l0jMZkVkUsAi8qb4qjtT/LfXYfMeo655P8yt5dk1oo+sWclnFf28s8aiMXEaO8RilKAB qEq3UrXFUFbfkboAudEXUrj9M6ZpbX15e2OowR3H1/U9RI9S9uSx9MlBy4J6e1a12xVR1P8AIXy/ NqOqzaNcJ5fsNRispYbHTraOEW2qabM0ttfw8SIwQrcHj9P4uvKuKppo/wCXnmKPXR5j8w+ZBreu 2dnNZaLJ9RS1tbT6xxMkpt45WaR3KLy/eDYUFMVQC/kpbQ+XNPhs9VaHzjYagdZHmxoA801/Kf8A SXmhEi8opoz6Ri9SnHjuaYq9LFaDkanuRsK/jirsVdirsVdirsVdirsVdirsVdirsVfO7f70XP8A zEz/APJ58VVbi2ubaT0riJ4ZKA8JFKtQioNDTriqX6vetYaTe3yKHa1glnVDsCY0LAH50xVgafmJ 5lZFb6pZfEAftS98Vb/5WF5m/wCWSy/4KXFXf8rC8zf8sll/wUuKs5/LzQ/zB88aRdapZXGk2UNt dvZmKaO5diyRxyFqq4FP3uKpt5n/AC//ADK0Dy3q2uzX+jTRaTZ3F9JCkN0GdbaJpSqkvSpCUxVD Yq7FVkvWL/jND/ydXFX0XirGvPPnP/Cdrpd9NZ/WdPvNSttOvrj1PT+qx3bGNbgjg/NVkKqRUdcV QHmz8ztO8t+ZodHuoOVrFpd3retX5k4/U7S2IjiIi4N6rTykoq8l6d+mKpXb/mp5oiistW1ryVda Z5Yv5IUj1EXUVxdQLcsFhlurKNeUaEuvLi7le4xVGan+Y+uTeYtR0Pyf5abzDLopRNYvJLyKxtop pE9RbeN3WUyyhKFgFCrUVYYql95+cOpyxeXYdD8tSXWta7dXthLpWoXI097W406JpZ0eQRXKvsh4 sux2xVQv/wA6NU03QPM8+peWvq/mLyxNp0Vzo4vVkglXVZkit5I7xYunxsSDFUUp3xVMB+ZHm7S9 Z0ay83eVI9IstcvF02zv7TUkvwt3IjyRJJH6FuwVxG3xCvviqtefmzY235q23kI2LtHNEqy6z6lI o72WKS4itDHw3Z4Ii/Ln3Apviq+3/NS3k/N27/LqXTzE8Fkl5b6n6vJZZHUP6HpcBxbhyYHmahTt irvLf5p2+vfmZ5h8k29gUj0CFJTqhlqJnLKkiLFwFBHIWQtzO6nbFWdYq7FXYq7FXYq7FXYq7FXY q7FXgFhdLaav9aZea299JKU/mCXDNT6aYqyf0bGSd72z1mCXVpZjLbPclgogKlWil9UGMMefQ+G2 KsM/MtbJbHzCtkUNv9Tnp6W8fI259QJ/k868fbFXjUH9zH/qj9WKr8Vdir6N/wCcX/8AlBtV/wC2 zP8A9Q1virNvzY/8lZ5y/wC2HqX/AFByYq8hxV2KrJesX/GaH/k6uKvovFUi89eV4PNXk/V/L0x4 jUbaSGOQ/sS05RSf7CQK30Yq8g0byN5y8+/l/wCddR8xWUmk+afMdpbaXZW16rRsiaXChBPIAqlz eeoxIH2SDvirLl/Mfzvq1nZaTo/lHU9N8zSyQR6jc6pamPTLNFcfWpBcBwlwOCt6YjYk1B9sVQmm X2rfl35o81wXnl/VdX0jzDqTa1pmo6RatekSXEUcc1tOiHlEUeL4CfhKnqOmKsf88RectWl8ka15 p0nVraOPUdVnntvLaXL6hY2c1q0dmkstkXcStVRIVIBqR44qx/VfKWvXvlvzumgaZ5gOhau2iMP0 zFOdYnv4dSh9eWEyA3hhitVU1k+yQSu1cVZt5p/LuTy15g8n+YtNu9e182WsJFe219cXWsJDa3Fv MklwsTiZo2RuA9RaUrvirELr8tvzTv8AyZd+dVvRBrU2qHzjD5Xk05zfJc25It7YXHreoGFqgQR+ j1PCld8VTXzJpfmaTzd5k8+aVod9Je6Z/hnVtJtWt5o5rpEhuob+0jBTkZBb3TK6AVVqVGKpv+VH k/XND8+QXWo2kyy3nlWCfVb5onETapeanc3l1EZCKGRGn+yTy40xV7NirsVdirsVdirsVdirsVdi rsVfO7f39z/zEXH/ACefFXYqpXkNtPaTw3QBtpY2ScMaAxspDVO1BTFWIjyt+WQFBJCAP+X+X/qt irv8L/ln/vyH/pPl/wCq2Ku/wv8Aln/vyH/pPl/6rYqjdPt/JWmxPBp+qmziZzI8UGq3EalyACxC zjegGKqty/lS5t5ba51uSa3nRo5oZNXuWR0ccWVlNxQgg0IOKshxV2KrJesX/GaH/k6uKvovFXYq 7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXz0kUkt5NFEpeWS6mVEUVLM07AAAd ycVT+98renaWiwGUapJb+vNYzLxZhzZSIth8S8KlDv4VxVhPmr/lGNY/5grn/k02KvJYbKz9FP3E f2R+wvh8sVXfUbL/AJZ4/wDgF/pirvqNl/yzx/8AAL/TFX0L/wA40+X9Bu/JOqSXWm2txIurzqry wRuQot7c0BZTtvirMvzT8seWofyx83zQ6TZxyx6JqLxyJbxKystpIQykLUEHFXmmKuxVZL1i/wCM 0P8AydXFX0XirAPzu0y7l8lfp3TkL6r5UuoNesVXq31JuU8fye3aRad8VeefmP5su9R1PzL520GT 1LbyR5djj0acCvDUNdCSSXCdqw2Zj6/zYqy2f8pPIXl7R7PXrTVJdE1eye2mm83PcySS3LNIisLo yycJkuS3Hi23xfD4Yqg9B8qaF+Yvm3zpfecYW1IaLqzaPpOlyyyrBa28EEb+vHEjKPUnaUsZDvts RiqA/MHW9G8qflNceWYvNBvYdS1VvLkepXEoeWwt5paXUMstWZ/qltzXk3xfZGKsT8u+ZtKvvI2h eXrC9S+tvKvn7SrGyulbkJNPe+9Wykqf+KyU/wBhir1bzrHDN+cn5ewyqskcll5gWSNwGVlaG1BD A9QcVeaaRbwXH5hr+T02pCb8v9M1Ca8s1cOTcSwJHcjQmlI4Otq8hlZak8AFPTFX0iAAKDYDoMVf Pnm/VtTsfNH5h2un3cmnHWvMPljSbrU4Txktra8soI5pUf8AZPD4eXauKpz5/wDIPlf8uNEs/Nvk y3fStb0++sYWWOeZ/wBIxXN1HBLb3CyOwmLrIX5N8QK1BxV7VirsVdirsVdirsVdirsVdir545Mt zcMpIYXM5BGxBEzYqnUXnLzJEsKpeNxgUIqsA4NCSCwcNVt+uKse83Pea1p+q0RDeX1tNGqoBGrS PEUG2wHI9cVeWx+VvOCxqp0d6qAP7+27D/jJiq7/AAx5v/6s7/8AI+2/6qYq7/DHm/8A6s7/API+ 2/6qYq9S/KHzrq/kjy9e6Xf+Wb26lub+S8SS3nsuIR4oowDznU1rEcVZB51/Ni917ybr2h23lTUI rjVdOu7GGWSew4K9zA8Ss1LgmgLb0GKpHirsVWS9Yv8AjND/AMnVxV9F4qtlijmieKVQ8UilHRhU MrChBHgRirE/Jf5WeU/KXlO68q2UUl5pN80zXiXzLM0qzoImjchUBQRKsain2RiqWad+Snl21nsV udV1jVNJ0uVJ9N0K/vPWsYHhNYSE4LI4i/YEjsBiqO1/8rNJ1TXbjXbHVdV8v6nfIkepTaPcrbi6 WIcYzOjpKhdF+EOAGptXFVTR/wAq/KmkahoV5YLPGPL0V3Hp9s0nOMzX5H1i6lLAyyTuARyL9Cds VU/MX5TeVNf1bVNSv/rAfWLO3tLyGGRY09SzlM1tdoyr6q3EJbirh6cduOKu0H8r9O0nUJ9Vl1nV dW1uS1exttW1KeOee1hc1It1ESQqeQDEmMk03qMVU3/KDyr/AINsfK8Ul1Aum3KahZ6xHIn6QS/S Qym89ZkZTM7M3MlKEEilKYqjBqP5qAAHy/oZI7nW7up+7ScVan/LTy1fP5lk1SF7xPNxtX1ezkcN Cj2kCQR+gyrHItPTDcia8txTpiqX6X+T2j2up2N9qWta15hGlOJtMs9XvBcW9vMv2JQipF6kiA/C 0pYjFWeYq7FXYq7FXYq7FXYq7FXYq+eCCbi5A6/WZ/8Ak82KqlxbXFvK0VxE8Mq05RyKVYVFRUGh 3BriqW67dzWeiaheQUE1tbTTREio5JGWWo+YxV5xH5w84Mit+kI/iAP+86d/pxVd/i7zh/1cY/8A pHT+uKu/xd5w/wCrjH/0jp/XFXpX5UeS/MfnnQb3VbnzPLYvbX0lmsMNnbMpVIopOVXBNSZcVT3z l+U2u6B5Q1zXYfN9xNLpOn3V9HC9laBXa2haUKxC1oSlMVSrFXYqsl6xf8Zof+Tq4q+i8VYj+ZXm 7U/KWk6frVvFDLpkeo2sGvNKrForG5f0XmiKsgDRyOh+IEUriqT+evzVk8s+aXshFFJo+j6Lca35 ilKs0wUuILG3gYMqLJLNyrzB+Hw64qstvMX502sNjrOraHplzpd1JCLvRdNa5bU7SKdgvP1JD6M7 Q8qyKqJ0NDiqpP5x8/eYPMms6Z5It9Lh07y9Mtlf6nq/1iQT3vpiSSCCK3MZURK6h3ZjudlOKqV/ +ZXm6HTNE0xfLy23nvXLy40+30+6kb6kn1IF7i99ZRze2EdHWi8jUDFUXofnHznp3nGy8p+drewa fWYJrjRdV0kTJBI9qA09vLDO0jpIqMHUhyGHvirP8VePf8ruuv8AlRP+OPW0z/FH1L6x+jeR9H1f W9Pj6Pq+tTjvTnXFU3vfM35nan+YHmHy55Yk0S1stBg0+UyanbXc0sjX6StTlBcRKAphP7PfFU8/ LDznfebPL1xd6hbwwahp9/d6ZeNaMz2sstnIY2mt3b4jE/UVxVl2KuxV2KuxV2KuxV2KuxV2KuxV 8/2N0LTV/rRXmLe+klKePC4ZqfTTFWTyapaWNpqlzb6lHfvqLxtBbTRl3BD1b1lkUrshK7H5YqxD 8zks1sNd+pqi27ac7qsW6BntAz03P7ROKvFIZofRj/eL9kdx4Yqv9eH/AH4v3jFXevD/AL8X7xir 6I/5xk1Cwh8j6ostzFGx1icgM6qafV7ffc4qzX81tT01/wArvOCJdws7aJqQVRIhJJtJAAADiryr FXYqsl6xf8Zof+Tq4q+i8VSvzT5fs/MflvU9BvR/o2p20trIaVKiVCoYe6k1Hvirynyv+U/mvXPy 882WfngrZeaPM8MFk0qOs6xQ6bbpDZuSjMCGmV5mFa/FTY4qngv/AM7dXtLLQ5dEh8u3KyQDVvNC XtvcxNDC4aY2duAZec6rQeqiheX04q1Dpnn3yT5m8xTaBoC+ZtC8yXv6WjSO8gs57W8kjSK4SQXH FXjf0gyshqOnE9cVQ195N/Mx4PLvm6ea21Pzpod9e3b6QXEVqbLUl9OTT4LgoKGKNU4SOu7DfbFV Urr+o+btM88+ebS28meX/KkNwtlBe3ttLJJdagFt2mnnjb0Iowh4IvMks30YqzLTvzG/L3U72Kw0 3zRpN9fTnjBa219bSyuQK0REdmY0HYYq8h/5USn/AEL7+h/8Jad/ysL6j6fq+lZfWvrHrcq/XK8e XD9r1PbFWWz/AJQ6L5h/M7zVrfm3QbfUdMubbS49FuLgo/xQxzLdBUVua0JjryAr2xV6PpWk6XpG nw6dpdpFY2FuvGC1t0WONB1+FVAHXfFUXirsVdirsVdirsVdirsVdirsVfO7f39z/wAxFx/yefFX YqsnEJhkE4UwFSJQ9OHCnxcq7Up1xVjP/ILf+1H/ANOmKu/5Bb/2o/8Ap0xV3/ILf+1H/wBOmKtr /wAqwp8P6Ep7fVMVbP8AyrGm/wChaf8ARpirJlZXUMpDKwqrDcEHuMVbxVZL1i/4zQ/8nVxV9F4q 7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq+frO1a71U2isEa4vZYg56AvcMt T9+KsgOgeW54bv6tqE8E1iwSZrqIemeT8A1YyWVeVBuNsVYj5rs57PTdVtZ14yxW8wYA1H92SCCO oI3BxV4xZQw/U4PgX+7TsP5Riqt6EP8AvtfuGKu9CH/fa/cMVfQf/OMGn2E3lHX2ltopGGtuAXRW IH6PsjTce+KvQfzF0vTF/L7zOy2kKsuk3xVhGgIItn3G2KvGNI/45Nl/xgi/4gMVReKrJesX/GaH /k6uKvovFWAfndpl3L5K/TunIX1XypdQa9YqvVvqTcp4/k9u0i074q88/MfzZd6jqfmXztoMnqW3 kjy7HHo04FeGoa6EkkuE7VhszH1/mxVls/5SeQvL2j2evWmqS6Jq9k9tNN5ue5kkluWaRFYXRlk4 TJcluPFtvi+HwxVB6D5U0L8xfNvnS+84wtqQ0XVm0fSdLlllWC1t4II39eOJGUepO0pYyHfbYjFU BqtjBJqHk/8ALePzHcap5ZvtU1aHVJRORcenpkQmi0iW5RvVYIz8W+LkVWm2KprB5f0vyD+bHlXS vKiNYaP5nt9Rj1XRUd3t1exhWeK7jjdm9N+TemxXZqjvir1vFXyl/iPzZ/0Kr+jP8JXP6H/RvD9P fXLL0eH1mvqeh6v1ildqcK4qyvzLpnle+/Ojzj+nfJN75x9O00f6t9Shgm+rcop+fP1p7anq0WlK /ZPTuqzP/nHuVpfJupOgltrIa3qCado9yztNptvHII1spOdeLIys3EEgcqVxV6firsVdirsVdirs VdirsVdirsVfPBJW5uGU0IuZyCOoPrNiqcS+adRniENykMsbOj3X7tUecRmqrM6cWYfTiqTeZ7i6 1mHUHKos93C8UaL8KL+79NFHXYAAYq8pt/JvnGKCOM6fGSiqpP1hOwp4Yqqf4R84f9W6P/pIT+mK u/wj5w/6t0f/AEkJ/TFXo/5TecPMfkTRtT0258sy37XuoNfJNBd2yqFa1gg4kOQa1gJ+nFWS+aPz e1zWfLOr6RF5PuIpNSsri0SVr20Kq08TRhiA3QcsVY9p8LwWFtDJs8USI9NxVVAOKq+KrJesX/Ga H/k6uKvovFVssUc0TxSqHikUo6MKhlYUII8CMVYn5L/Kzyn5S8p3XlWyikvNJvmma8S+ZZmlWdBE 0bkKgKCJVjUU+yMVSzTvyU8u2s9itzqusappOlypPpuhX9561jA8JrCQnBZHEX7AkdgMVR2v/lZp Oqa7ca7Y6rqvl/U75Ej1KbR7lbcXSxDjGZ0dJULovwhwA1Nq4quuPym8mS+VbPy3FBNa2unTC7sL 23mdL2G75FzdJc1MnrMzEsxrWvhiqjpX5dDy5cXWvWE9z5n82PCtrb3vmG9Klbf1AzwpLBbyLCnV vggPJgK+OKptp19+YUl7EmpaLpNtYk/v57bVrm4lUU6pE+m26sa+Mi4qlv8Ayqry9/yrH/lXP1i7 /Qn1b6p9Y5xfWuHqepXn6fp8q/8AFeKp1pvlTTtP8z615jhkma+12Oziu43ZTEq2KyLF6YChgSJj yqx7UpirXljypp/lxdUWymmkTVtRudVmWYoQk14weVY+CIeHLccqnfriqdYq7FXYq7FXYq7FXYq7 FXYq7FXzu39/c/8AMRcf8nnxV2KobUrl7XTrq5jALwQySoG6EopYVpTwxV5zB5/85Swxy89OHNQ1 Pqs5pUV/5asVX/4685f7807/AKRZ/wDsqxV3+OvOX+/NO/6RZ/8AsqxV6J+Vvl3zl570fUNR/TOn ad9QvmsfS/Rs8/PjbwT8+X16Kn+9HGlO1a74qyLzJ+V/nLRfLuqax/iTTrj9G2k956H6JnT1PQia Thy/SLceXGlaHFWPWE73FjbzuAHliSRgOlWUE0riqviqyXrF/wAZof8Ak6uKvovFWHfmn+Yf+AvL cOt/o19V9W9t7L6pFJ6ch+sMRyT4JOTCmy7V8Riq+5/MfS01zytZWqpc6X5osr7UIdWEvBIobKKG YNwKnkJFn6ll4074qx2L84fMN1pTeaLDyXd3XkleUq6qLqFb2S1QkNdRaeV5NHRSwBkDFd+OKvTL W5huraK5gbnDOiyRNQiquOSmhAI2PfFVTFXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq+e4p 5be+lnhbhLFdzPG3WjLOxB398VTg3mmax8F6kdhqDfYvol4wu3hNGuy1/nX6RiqXfmbAsD6vEqqo WxWoSnGv1NSSKbbnfFXhFj/vFb/8Y0/4iMVV8Vdir6I/5xb/AOUP8wf9tx/+6fZYq9D/ADH/APJe eaP+2Rf/APUNJirw7SP+OTZf8YIv+IDFUXiqyXrF/wAZof8Ak6uKvovFWCfm5puo39n5WWxtZrpr fzRo1zcCCNpDHBFdBpJX4g8URd2Y7DvirALr8ufMNr+aNh5cgtZv8EGx119L1KONmisRrMEaS2bM BwQRzRs8QJHwvxH2cVTby55w88eXvIlj5Mh8nanL5z0mzTSrOcW/LSJHgQQw3TX3JY/RKgOwJD9R Tvir16yW7WzgW9dJLxY0FzJGCqNKFHMqpqQpboMVVsVdirsVdirsVdirsVdirsVdirsVdirsVdir sVdir53b+/uf+Yi4/wCTz4q7FVK7a3W1ma6obYRsZ+QqOAU8qjwpirAlvfydKgraW5UjYixnpT/k Virf1z8nv+WOD/pBn/6pYq765+T3/LHB/wBIM/8A1SxVHaZZfl7fRSy6Vpc08KycJmtLG+ZBIFU8 W9OKgbiVPypiqvc6N5MitpZbrRrxLaNGad5LDUQioBVixMVAoHWuKsotjAbeIwU9AopioKDhT4aD 5YqqYqsl6xf8Zof+Tq4q+i8VQmpavpOlwJPqd7BYwSSLDHLcypCjSyGiRhnKgsx6DqcVXzalp0F7 bWM11DFfXgka0tXkVZZlhAMpjQnk4QOOVBtUVxVBP5t8qx6wuiyazYprLEBdNa5hFySegEJb1Pwx VNcVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVfP8AZWv1vVjacgn1i9ki5noOdwy1+iuK p7P5e0GWKW4ttQezt7eY2sv1xCzGWhK8fRB+FuLdelMVYp5tsZ7HTtVtZ6epFbTAlTVSDESrKe4Y EEYq8Zsf94rf/jGn/ERiqvirsVfRH/OLf/KH+YP+24//AHT7LFXof5j/APkvPNH/AGyL/wD6hpMV eHaR/wAcmy/4wRf8QGKovFVkvWL/AIzQ/wDJ1cVfReKvL/8AnIPSbPWPLPl7SL0MbPUfMukWlyEP FjFPcem9G7Hix3xVg8vmHzJ/jny1oF4zXHnXynpvmS1aUrVrsfVLZ9PvFG9frKKK/wCWGHbFU+8n +V/yrn/ICz1XXLe2exudLGoa5rJVWvPrjJzuZhcUaUzpPyCb8qgKPDFXr+kSQy6TZSwvLLC8ETRS XFfWZSgKtJyAbmR9qo64qi8VdirsVdirsVdirsVdirsVdirsVdirsVdirsVdir545MtzcMpIYXM5 BGxBEzYqng85aq6+ldR293Ad5YZYl4yNt8blOLF9vtVxVJPMU1xrSXzSlI5ryJohwFEQGP01AXwU UxV5pD+XPmWKFIxeWRCKFB4y9hTFV/8Ayr3zN/y12X/Ay4q7/lXvmb/lrsv+BlxVm/5dax+YHkXT L/TrGDSb6K+vDfNLPJcxsrNBDBxAVGFKW4PXviqea/8AmL+Zes6FqWkS6fosUepWs1o8qzXRZVnj aMsAU6jliqWWUBt7OC3Y8mhjSMsOhKqBX8MVVsVWS9Yv+M0P/J1cVfReKpdrXl7R9bSzj1O3+sJY XcGoWg5unC5tX9SGT4GWvFt+J2PcYqoXPlDy3c+aLTzVNZK2v2MD2ltfhnVlgkqWQqrBHHxGnJTS ppiqRyfkz+WMmrvqz6DC11JP9aki9Sb6q05IJla05/VmeorUx4qzTFXYq7FXYq7FXYq7FXYq7FXY q7FXYq7FXYq7FXYq7FXzu39/c/8AMRcf8nnxV2KuxV2KuxV2KuxV2KuxV2KrJesX/GaH/k6uKvov FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXzu39/c/8xFx/yefFXYq7FXYq 7FXYq7FXYq7FXYqsl6xf8Zof+Tq4q+i8VdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdir sVdirsVSaXyV5NlleWXQdOklkYvJI1pAWZmNSxJSpJPXFVv+BfJH/UvaZ/0h2/8AzRirv8C+SP8A qXtM/wCkO3/5oxV3+BfJH/UvaZ/0h2//ADRirv8AAvkj/qXtM/6Q7f8A5oxV3+BfJH/UvaZ/0h2/ /NGKu/wL5I/6l7TP+kO3/wCaMVd/gXyR/wBS9pn/AEh2/wDzRirv8C+SP+pe0z/pDt/+aMVd/gXy R/1L2mf9Idv/AM0Yqx3UdN8s6f5/8uaJb+XdJW31O11G7ln+pxCaOWwa19ExsAAP96GrtXYUI7qs Ysvze806taXr2cuiaQNFW4m1jUdWMsdnQ6pd6fY2yt60XpvKtlV5WZl5EUTegVTeL85y0iXDaVAN Hgl0m01O+S/jlkjudYjikjFtHCkkd1FF9ZQPIswr8RUMFxVrTvzf1e/ub7TIvL0I1yz+qSy2Z1FT FaQXazuW1OZYGNpJAtsfVRUk4l0HI8sVZj5K80x+Z/K9pr3ofVFufVDReoJUBgmeFnjlAUSROY+U b0HJCDQVxVjd3+cEI8p6r5j07y5ql3bafYnVLUyi3t4ruy4SOtzHK0zcY6Q8irL63EgiI1xVnUF3 HJYx3j/u43iWZqnZVK8jU+2KvLm/PO9GiPfL5bd765j0y80bT47iWZrmw1d5Vt53+r200sbqLdy8 SRSU2AY12VZ95U8xprvliz1yQQwrcxtJKkErzRRlGZWX1JIrd6oVIYNGpU1BG2KvPbr8/wCKxg0u XU9Jg07/ABLbpeeV5LrUFigmt2cVe+maELZ8YnWUgCX4TQHltiqbL+a+plNEuW8vgadqclpBPdpe pIPWvbt7WNbDhGy3qp6fruwZKRMGpWqhVB+VPzw/xDPeR2+ifWGt9Pk1JLWwvYZ7uNY51hNtfRTr aR2lz8XP0zK2ytvUCqqOX8179rPyvqI0AjTPMFvpU007XNHjm1hgscFrH6X+ltB9ufdOMfxb7gKv RMVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVY95p8mWuv3NhfLqF7pOqaZ6y2eo6 e8SzLHchVmjInjniZH9NSeSdVBFMVSiH8pNEso7caNqWpaNNHbNZ3d1ZzRGW8heaS4b6w08U3xma eR/UjCOC7UYYqgLL8hvJdte6NcmS5uU0OO0jtILgWklTYAegzTG3+sqOSq7RxyrGzCpU74qp6p+Q +g6m1o97rusTtp6Lb2HrPYzrHaK3P6q6T2kqXMXNUb/SRI1UU8utVWSW+hedrSOOzg8wWd1p8YCA 6hpgkumj7q8lncWFtt9leNstFpXkasVUFZflVo9r5f1TQDqWpXGm6lpraLDFNNGws7ApJGsNqFjV fgWUgPKHfZQWIFMVTafTfN8dwsenapp0OlRrGkVtcafPPPwRQrBp0vYEJYg0PpCnv3VSPTfyh0fT LR4dP1jVba4U2q2N6k0PrWltYeqLa0iDQmJ4IxcSDjMkleXxEkCiqN0nyl5k0G1i0vQ9Wsk0aFmc R31hNc3bvM5muZJbiO8to2eWaR3+GFQK0ptiqUJ+R/llHsZV1HUvrOjcF8t3DPbu2lxo5YR2geBk ZTXifrCyHiFFdhiq/Qvya03QtZXVtO1/VUnDmRo5V02dayOZbgI01k8sK3Mru8qwugJY04gKAqj/ AC3+VuhaBcCWC7vLlILGXStOguGhKWllNIsrwwmKKJ2BaNfimZ2269aqqVl+VVjYahoV7Y63qUB8 v6dbaTZQkWEyG2tgFNfXtJXR51AEzwshYADagoq//9k= xmp.did:13604E1263C1E11187F5E9CCA055BAD0 xmp.iid:13604E1263C1E11187F5E9CCA055BAD0 uuid:D9098E846DDDDF11A669AA5AACADF97C proof:pdf xmp.iid:11604E1263C1E11187F5E9CCA055BAD0 xmp.did:11604E1263C1E11187F5E9CCA055BAD0 uuid:D9098E846DDDDF11A669AA5AACADF97C proof:pdf saved xmp.iid:BA2AA763FA86E011A2FF8F158748F4E1 2011-05-25T13:28:36-07:00 Adobe Illustrator CS5 / saved xmp.iid:022C86193A37E111B322E735D87BBC1E 2012-01-04T17:19:17-08:00 Adobe Illustrator CS5 / converted from application/postscript to application/vnd.adobe.illustrator saved xmp.iid:65260F2DE63BE111B456AA8A1489FC3D 2012-01-10T22:24:14-08:00 Adobe Illustrator CS5 / converted from application/postscript to application/vnd.adobe.illustrator saved xmp.iid:CD256E1165BEE1118C47EEACA5DFFF40 2012-06-24T18:28:29-07:00 Adobe Illustrator CS5 / saved xmp.iid:CE256E1165BEE1118C47EEACA5DFFF40 2012-06-24T19:47:41-07:00 Adobe Illustrator CS5 / converted from application/postscript to application/vnd.adobe.illustrator saved xmp.iid:11604E1263C1E11187F5E9CCA055BAD0 2012-06-28T13:52:22-07:00 Adobe Illustrator CS5 / converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator saved xmp.iid:13604E1263C1E11187F5E9CCA055BAD0 2012-06-28T16:02:47-07:00 Adobe Illustrator CS5 / Basic RGB 1 False False 6.833333 1.599996 Inches Helvetica Helvetica Medium Type 1 003.001 False HV______.PFB; HV______.PFM Helvetica-Oblique Helvetica Oblique Type 1 003.001 False HVO_____.PFB; HVO_____.PFM Cyan Magenta Yellow Black Default Swatch Group 0 K=100 GRAY PROCESS 255 K=90 GRAY PROCESS 229 K=80 GRAY PROCESS 203 K=70 GRAY PROCESS 178 K=60 GRAY PROCESS 152 K=50 GRAY PROCESS 127 K=40 GRAY PROCESS 101 K=30 GRAY PROCESS 76 K=20 GRAY PROCESS 50 K=10 GRAY PROCESS 25 K=5 GRAY PROCESS 12 White RGB PROCESS 255 255 255 Adobe PDF library 9.90 endstream endobj 229 0 obj << /Annots [230 0 R 231 0 R 232 0 R 233 0 R 234 0 R 239 0 R] /Contents [241 0 R 237 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 1417 0 R /Resources 235 0 R /Rotate 0 /Type /Page >> endobj 230 0 obj << /A << /S /URI /URI (http://www.xilinx.com) >> /Border [0 0 0] /Rect [275.58 26.4 336.42 37.56] /Subtype /Link /Type /Annot >> endobj 231 0 obj << /Border [0 0 0] /Dest (G3.446033) /Rect [404.46 640.08 448.92 652.08] /Subtype /Link /Type /Annot >> endobj 232 0 obj << /Border [0 0 0] /Dest (G3.451076) /Rect [162 428.28 201.24 440.28] /Subtype /Link /Type /Annot >> endobj 233 0 obj << /Border [0 0 0] /Dest (G3.473253) /Rect [393.78 688.08 398.76 700.08] /Subtype /Link /Type /Annot >> endobj 234 0 obj << /Border [0 0 0] /Dest (G3.473143) /Rect [403.8 688.08 448.2 700.08] /Subtype /Link /Type /Annot >> endobj 235 0 obj << /ColorSpace << /Cs8 1435 0 R >> /ExtGState << /GS1 1436 0 R >> /Font << /F1 1437 0 R /F10 804 0 R /F3 769 0 R /F4 772 0 R /F8 800 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC18 792 0 R /MC19 236 0 R >> /XObject << /Iabc1784 238 0 R /Im2 240 0 R >> >> endobj 236 0 obj << /Metadata 242 0 R >> endobj 237 0 obj << /Length 18 >> stream q /Iabc1784 Do Q endstream endobj 238 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 108 14] /Resources << /ExtGState << /Gabc1785 780 0 R /Gabc1786 782 0 R >> /Font << /Fabc1787 791 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n>)W^.P/ Jc(J2h7r @` j*"$TT w197JIx5s剢xy"ZĀ0r2dv"`IPg5kDN 9]%io#Ƭ.PBl(Y}Ѿ? 5Ժ@AC5N> /Border [0 0 0] /Rect [108 14 194 36] /Subtype /Link /Type /Annot >> endobj 240 0 obj << /BitsPerComponent 8 /ColorSpace /DeviceRGB /Filter /DCTDecode /Height 668 /Length 43937 /Subtype /Image /Type /XObject /Width 1008 >> stream Adobed     $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;"?   3!1AQa"q2B#$Rb34rC%Scs5&DTdE£t6UeuF'Vfv7GWgw5!1AQaq"2B#R3$brCScs4%&5DTdEU6teuFVfv'7GWgw ?kUXݡS{SmݥT:0D T̓YVcI eV<SȔ@[&Fm` -1fZ[ "@JVʑ\69M(i[U9qS@H2%[S @)B{\JA(-&ͤUrL( fB I)5H:Y63R'DDS@U[IKdx|b*E@:%;&ӎ-6ؠjTn'jg{qS*MMCyE72Y{۸L >3DpqФJkqKK nԽH֫&ai6[z3cPƲOn-tZՄVTZ5E`3[%Nj4?%VuVr4 5RNTk@U^eoYLw"Ye|)v)>U*UcBxlJfr;0swQf;BҕhjgX ĸN!Kjo:݈~Ai453i .LIBqhT Z"ցʋilJ*2P҉J7(–zV4$^g(@* H8N;,۞aZ[:=5: ARD sPnxp\|SJ.2AT~X~m{H{dQ 2TBEkbH ,IB IEF߬Ё{øB)X\ht dǂTI%GjW$T7lZqP7ȵ72\Q(}Wg80)J 9HIL8|&FRSd$LM I)=ETL>I)7wJ%M袙Urʋ9WhCc?c1_G^;c?c1_GOr鬩."Bprp!^VEMq/E(@j1ݦ\#U~UN5:)X27脉F6uDr+vOAR212W*"ciT CW/RQ!Kp:ʸ"2[AՖV ELr6Ƌ(R{)Z\VZ$wX)&jxnBDFR[ʊ¦Rlͭ.?܉xgDLcn{[`Pik/0x"UF6-{ yVzebN\t^@.%Jz[3US,*U@.2e cYdV@0^j.D5NET6ƚ,oEt]UYa+H)tC pE饮A=1xѲM6abIhqG 6 rfN ^6lc`n#+xe]gRTK.( ,}p\`a"" Vzn|h tVFKԂ2˩ֱ\ymdtzE"['dG4z~O$JcZBfkL4Ujcc3 D*mof5QUe#MR8)ƊQmumhE*cmV{}fk ϼӣplU3 }rG ){!Ļ=[ +y{aUi':踤i06=6p80T3;=hd)%3-u(ZNқkAH Q?IN\2I3-\PJe1iNW)CK:4ji E7& @5DB6\x Qh]ڀ)1jSh' FcCVZ$ dD $Ů4J ȴr@a8U ЛĸšE 㢊=mhA0E[ \cHZ]~Q(?@^ *)1BKP 7(~PsvUx4(eE&tEQ\҄ +.*).bRRjI[btrR/T@i)UJ$#bt)"6VX)PV3$&l:H'pR'I%)<&ShIJSHJn)9Wh*9W)HW3{ 3/\g,+"n_ۡVT&*n*qDƭ<6tZG8JRxj2B4V<b8pH:5maSn-gbARa ħLtkh"UC,kʱ5~Ojx Ժ[ 煩j-?RѬxV}Fj8'n3?x}.Թ> XbRypO:x$<+Jx)}IUN}-1ū$-ZP?a 7cѣ%CFW!]ml.ԄaIVpUHbS@4ñ):gTu޳UL}&jC@Cisuo+CO lŤ!8l:hoPs2*cĠ~sSH\ [#_k SWDUbS?H'f%'ꥧRǹ)ka^tޣf5@} 9nfV_hI!Yn=SD~Ek[2AH[:2IG%jG$i?2tR6̂eYn-3ãEu`eT+s@9z񪟤q-\0I!WىI:#5$PhQyKd)ۋZGP?H#E-/ +sm>utHSIUC~kˋV݇HZx$Tвדs]Z#z?8$զo.Qj/CIŤ~p}˚e%Wnk} JB+VNoupS!O)7I'&2R?8'-" g Zy}jrO3 LL} +Ve_-?8zoTގLk0AZdu~*mZ_bcG7@HZb§%hhzP?SQ8TRNL:0H:;$i څm@݇@LJuZC S?5hzB)樜JOG$Jd1OO4D.]?~WH.9_$ZuZC Ow6hAⴎ%_} \uVpiç% OY~_/R<&jهPH*6Xv" X源0*ogVԓHweYR$R,UpH4I%D[gGE3k*{-?z?H+ ZO5%0%XjDqJE,hH蘋h9w>HQ BV?N4HVUm'T=WlB%`j͏~#]%>4+%WF6/ө*!J6O*cwmLg,Q n_UXU4-KAw%/纗QRIԬk*תUpT$$ *d(* SuWW](nz Z.JDB_4D(n2 RAkhTAJKiP=ZQZTU$HMu)T*]Xک UHX $*{zUIQ$UJ\Hj[ީhpi뚪>)R: vi%H$۲3p 0.燝H Tn5O fD. $ !BJ(- F֪2PRfBGLD(jRcZZJb|Ф)]p"Z!d*fT$BxKcS!Wm\ԍ͈UmP!"Ew) @!-ZϹB%D+Uq\SG&xh Zy)Mz(T $P&[ %4\)3Z̢0PRV;mkN% I%giDEFϮ nil &HJL<"TB -yBdTQT(ŷm8@@(R+SN)*6;-RBip!PDvZ Ja%nچ'TϮ+)JT;\j^d5:Emz텡pcF<-1 VLe9=BD#KHU^H*pXU[$#H=(eÒ\ޣP%$]◪"Rܒ)JZ)UYTUZ6ZTMMdn)S/Q)ŎP0e%$Zy@&% 39U8GRt^Í??"߫`T~E$n_%RH۩W2Fb-OLJϚnoc )Ѩ!18Q( \JC%TT"E$ R٢ )d$ %%%hܦ)PaBӢVze a@TVV c )F/(JAh$cw;8QA\HLE]Yod1ʷ¨B يHHO`hBl4]YjJ#V9 $c7D1={M%tcmW26O(8Ek.R;1ъ/f™ {ZE̬HK "m{ q y:6e8m*Nڷpl~O "sݦ+*kn$qς5GDNɶpߤSНkii.%mAY0ԄXE$m$\mNi+Z%TIYA#Π0;BOeѣ‹vr{J1Ԑ8V}ALFA8L欄L+L>Уi\cLr5*+243 JBSmyL'kw"qX9*.+4RhݠMS{D2&,+ӢWj&r'[ %\@6 e&4蘣P8N%`V9P$+BF4Wj:!U8@>u!4 X\tP VD[+[ʾ@W&e](!DNBri~+0~!.M` A:$iU.c<%)I$I*I,]Ӥ"ce*r9ݑKAaGwcF[O !Jh3´XN[ )Jt)(MiF` )0J {c?0ȼwihgGR|휫m`ꤘEFT\Uv 8S<X|UHS%".C%I HrNPd I$%t2dȺD&SjJe^ZmXSZIN4Q@V[l!U\P BKu\(JgSNh e*Rkl)JpR$m5$S $K$`%)ǂ5Aa7J_/baFP $J[ltC$!8 6o d"D$ɇ Ae56TpT;A&TɕS۽[ B0O6^gAR4LB*)2'FN2N9L-]hN¨g Pz.. AZ YtIU22tL%(oTNBIJSiw&Jv I[-} )ujeM(_x"DHi~[*8HϮ;.9Ed̢01ʑ0QCN')2)i[ !n o@ Ȳ uiIM+/ 'jT#](iJղr BHq蕿o*J 6>wQ$IET0P49 X Dfu A@Uq1@SIE;;L9ie2P᧴|%A%IsJP֎KHNSXOR$;Q=S("n>(Ufa%!4rhTVuR|mQ)3Å&rP]- ذ/#X/bȦC/-:Tu '] "]I%+.0EX3!%5%Gy$qhHBBSB4Sj7\')*Ԟ VZ)5EK#"մLR)JS['ُj'pVIQj$+Y tmζrGfdj41ٷO(: 7A*f!O5V{&D=,0l)XLK+kA #Rk.S<1 daDL.(A:Ȣ#z8E$& !斦Ssi3)ݪpFF&Bm);Jʋ/#S /ii],EAXEp;hWStAM- AJϤSVQp'c)SB CS Iܞ7L1 Z`Q)Z4{g0$$L&uG 8 %4T0u*uqX PЬyJh:1iFSerS:UPCk' Q*"VS/iƒ-+m1 MnCE"}vRZ&BMܠQh0Br5_gPq#SAyP89B44ੲ!YB?g>VW|RtLT{ <-6ˉZRϬV1I!)0T?HTBTZC OP!l' kBi+K"75:%c#{AiK,ޅBXcl5apUct1MV(Vx/]#3zw@G_^|ҵ8eNxQO ll^+9Fi[_X~v"4S㙐Ƌ0T(Hg!FT^T=ʌhSJ O^ņWgG^=Gl^ÇM-_v=wB+W$F1t46E&ۀ8\M6s`_s:V{ Sl"I*@{T)/r+8AQCԗG^1agtVӢIBA9f%ꄔ-QkĤ$5% ubOh:K`%7B,ib TBHQM]ӝS*ݴD9Ws  OeATڐ"JJoSZFBkl܄S"&I]TC%E4Si-:!$HJjʙ iBqJ7i͡HyLJj-X`4ͧ͡; 0BmjOFO~JP9D]S2tP$JT* w;D(!=vlD*ĦBqPd&RnDR@El2LB)hڙHs)p] Ln$B LBNIQul D!K/RnTRIVBnJdQ%@IJ:TN/۷ JR 2ZXv)N( \;-!qL LvӪScv"{25H-RaTBbRCd;m܃)*IJunE#(> +BoTUtv[ B@q" GDPѱ;,܃SRa4 q nhtEA7S!4PmF?7h; ϼi:5JLf2xکd<ΈPgrHkR`̤ݲzgؙɒ:/ѭXۄBg຺F ƞA?ں?wV3fϽ6gto_l߾>Gw6}Lq6]I>gt qx+/nV;d[f[}?YמD&R,bAPxNJlΉ36 SJ l^ŇxA?3{vT~E6O栲1|*N縙qձӐ *K柨p$˅ÅQz앷mQ(2PP'Tkk%`e%+ U%zTnБ5n$s“"NJt\dA RVRt -ld\HP` @`INTJIdo0qJ>+rM2BVRZ% U>k&(/ق? i4jҶU Y0-u(fJUM%|b)e[ 荑Ψ΅-u6)Z'Di1Ѷ@#dju@N %T9RT~ɤ^"sȹ'Pp6EJUV/)Lެ6 ; wTm(a6Aa!i9e3mTaLkmZZuL5*wrT=:G;i pVSw ET=JfrfQj ?@S-i%Eܤê{H($$h0Ϣ)BDa8T^}M=`L($HI@fhMi_)0TDT8QDQ}0%YoBϢSAd0m=fL~I:֙ЭC6ט 7Pr-Զe9U)pn;BSIV#zBC')ʚ -LL(K2&JCC)-t6:D қI;.DN uND!PI&u2ٔ)uiE!E!RMveCC)rIz)27*e\K0+OT%^<“Ih9)wW  )xQ6y'J=);Ģ m))J'ETXIM!'RtvQ8EHXfiC`Bz@X/cȼk+^ɅM,_ H?ΏXCMԴ$vaNG{WKv1W5,Lc :v%4<;F15L3!J<({=K;FUpT+,0Q \W)Uç(o)"qĽ=T !$T{Nϒϳ- g`,OpT '08P$SljI 4S;+cP"S YTAtI]{u 4pVP$UA#v I[79Egu_i^/%g#_pX@-UoE21Yi|@!k6NVHQM]{>c~ɤ!m0/>9N@#?)Wբ\ڸS> pMɄgmx=kH%hTGd崝 R8TNGI!DpR* 8[e;)tZDZ;6 Z.I>uOcӊ [0Q*'~Yd:9.JOI0 D Fa%4/M3!)i%;!5DYeVn3JTh6VT->ҙem8MJU)n%YD;MU 5FM +'$4YV֫M:!uM"&T$J5<,OBP`h!SAՐSP* j8e'Ьj28 Y @ a[7PF:/ʶ+ą[ %|1+qZ)sD[^ گRh[\7*.j{xEhqKKt:#39Glk\؍P[HsǼ0D|o)4)@2R`+\;*aK+,SJex}K-Ƽfz6p}C6w+Yԣg.Ro5yٿ︧7]cG'VXzKY"m+OuJ2ow.hk$j1E4 AF5)VI(nJJlvNSrӺ ?W` ;??"\{&vT~E>O栲9~zO  kjN7x*:?毽o0$],v_ƭi⳱_̢: vc{l<ٺK]Tws# !_;\w4I *x٪8<ғ^<20C p=sܑSr`Vf8x4l쩜ɰ_;%A/|&,)*I 'IhSeM1P% ^$@3/TTƩU-$6YTCP]( uٸ!QJ~Sn`zpK!÷irfPMi24Q $)P$#L#]'(@Pazae ljcT qA5j>W6#EmBݥ$Re=pI@}J;?7svT})3PeX5M^+K!TfpkAEV5Llt:  &n5cTH$L2fpwԇc?y]C?P?Xh%>ʡѺԈ'+q0Ƀ0_\RV,}V•|-nV`.rEe6a0%!OXTۢzIMvrpȔӺW` ;??"2_Q>r:O`bma}/e"tTY7^;&I1ٱԙ^NഺW7cN`|Lz%O53#ͤBd>_'&YOaBp\ɐIB{# HfƱ1{]©sI0TPTA**m㢓V:a_ nE~:,ˏh'gX%6Y&9$:`$r) VAG;Pa["Y jԺѢ L+9:`Ljo0`D%tb*ڗ׵ +9FaV'QKK#}(cUBԶr2[!5l܋eI_i͇E FWF%dlUVC@M1@Ӷ@LJuN,:ռJ!>8ТNJmᶍw(`jȣIpL*~!)MiØRW68INqS詻K >虺RSNjTf8sfRv8E)Y(SA$MOY=tl4Z`IB40rI ) 8JgJ O>)% h;C %=3=͖ce5zϴ(}2ͲPRwE|pB[(:(}0k:&jTHNO,ct;(dp.:Y›[&%CP&FڶUZfטiDcw8ԢS!9gv(7jee#FS(3=68v՚)m[j!itۊ:Dq(S$m.-!)DS xH֠vyA x*Vq'p*YD)Ъdī'IRlqq3S)nR9'SOm.LJvP @-S6%D|PD$)6ș)D$TR[G "dnR0fۋBs" A'DOd \*MP Z"(΀wLt)wԚcU$PξDJ M/)M)'-K!E֗!j]z24L }ED:;PֿyNӴʈNuIhKCM I4v@eD#EhPup)RI?ETa4>I"ϊ.Ш 9 밼 0KxQ (!J(@.$'cNrީ>|Taw*?%z * NQP) Cs˹Q)HRo j!:(d + qt\qI& IlgEXīNUhB Xzn[_fvRuƠ!K3[ڵ`h)$5Զ R(F-(. 5' (08ꬊ[Z\2}bx$456TwAh cLQoM/X/f/Ga0)78|ؤ%88LaO=5c"p _jUlpa}w{d^[ٸ8YDs \ާe hhX  1{IBLf(v0Qk;ơ'eתTCMTTk( ~;$:R AݪEM4YlihZTNaaIEH$<&))m0Q H_ Vʶ_UB1+fT d+(WF6W0Qp6Xt)h{8B+)|u K tV2UnȂA= ((bUBw3aPlJJ5V5D8P#IHSNE 'DthJU)q➂cDDud4`kQ"F4 0)ݫ ڬ-il'cj:&YE4lJaʕ\T2M*]- GziX!Ɇ3Obe Ru JN)YhSE $5)?$S؛,*.(ԟS+FЧLJLI}[L[S-4d‰ԩ0jR Tl`(=6h4*LTO)0S`Pm5/>›e55FJԢ2I[HNW65W(ƥы`c v@QbkwD5FA dw74hr 4m t̜r-#0h[*ӖKгtJ̏#7\!0r"ͼ y;=gd~R'.w-_#~@u*1et0\Z̪Dg?: * Xd蝯",!<ʑOBz((MI 7 wQuڿa0/}"'pc_ 1M|իq}[U\\6&IpV5dM[䶳{qXCe[vFk3:s\KPdd?{JMٳQ{M8fQ^6^5 @2QSE#ER`*HEI!-hbLi܅IyRg`%-mKfmMk@yڝ&aID)VO WE/; @ς h((5$L$  3}ܕ:)mɶm2ꘇx$BHecQ&R #,\:TuJD+\5L ՛l-O" =2.2 o6[hA36 ''8&ZFZZ4); P'֌)B(fKDJc{P)!J=>)fS _ThA$ɤ&֩4K BNEi4)*(MRLb% (f*.%RTMDYKB#J l~OLC}۪[Pq6 *U7*yq4% "[$ ĭ|i-2ٷi&* ,qJ-}3 Py;)0Lƪtr%ATe%=Bt.LhHj6L+*WfPJg2FV~d:k)L/ :'F~_8,/y>_FwևkYP?ZRgdzy>_SU"4__%?;Jj<fwJ XtZYYnlꁮ 3E0j JYUjS%V%)7'jf}$ުuz v"2_"'pY<(4ʒc g鏊ue 2Gp%l7fMZuZ9,79iYX5~{}=U6}4@2@Pd}MyH6N{"#*Q=!a9֓- j,nUaV%$Ь3K$bߪ nZk, zzx,~ rl.<0K SP 2Hfr8j3B%0aEu-hV[&HF%`Qc̅kT 6 S^**an㪱fR% SVxBihch(ރV4սDQLY{j`w(ރ1 I1ӹN`6@ԡ1$Sc"mM+ZtQ w}2lUU7U?E)(@IeSNiFT8[)8IS44)P2Id6бL S{ć' Sjv'ڤef6x)2Rtaed(IJO ILJI M QJat%*<%E%)"^i%dNJY(H!PI$$BPRIK'IIKŒ)HJe%-tI$RH$I"IR!7)qJ]:`Gt)p9U°.uLWELW&P"V0P~G$H M`<|S$:/N#vkt@Ff=$J{ɒTR m&˧[ӕWn:"~ҰT>I*U+|RaGmݭ*q r[& ڏ>(9AL:'T[)oU^2_VEe_ 𿣳l$VC/΍)Tوp(8&lsi wO:ڧcVֵG\xMXŭP_^nqyQ}$KE~i2%XA2`ou['l*av5Gtp:;&gНӀ (6=%oZ/L*aA)Qa,O:&<"t1 )sT%A@HJh=ӵ Q͏ 2o>(E1JHGw* (IDjT~yLHpH~uLC".QڄꕖmH@(P]*]&Bgto &O RLdSBQ@=R H|P=Hr=IO ϊ (w8r "mNn1 .;+*mEhGTO))IRJRbJt)i)NRR $y'$ܧIJKRrRM$$DIRS E%)D.SBJZp# Ғ IY$IJ)RI2JRIIK'MRV[+, }2d;@8zcT9 3 x bakd[FiĶ }L >SCzڸX)mR%Ÿr5`8+ `)p+C` ; 9k@5`JL&r;9Cz:e_ z/ʿ`GY7M9U2ι>Fbn QF͜-Wmn*K扙kf4H:b&`-WK_xr̈t]0ށftyi,ו;ٱ=2 7N{._(W} —d`H(E@<%1* (hR*m?v| >Ҽ 6ߥMSm@Y40:$΂z Hkl2BV4UռtbVt09ګ>!{: I_+VJrSSXqb?*Eeg$뢮Y!\v*Ǣ,} )&_)}m ej9z6)#nb k(ID6b#_1ebB!0 r*wqBXj)xEM էkC]B#Ŋ[jS566if!5=RjxنC].B J]2I%- $))iI$I+E$ےRRpJHV.8Q)$&I$IJ]1JP ʉILJdR<|JJfJeNS%HۂII("' k "JKrd{t3s-\s)"LF9UOUjqt\ vS[>NRd  8jG(: ++ߩw;,l["80譑slI|6bGpL2Fk'J[Xg`ώUZQY;cQ?~iOO_ʇ qv?rg}@ib7Tݭ߮]@~iTqju~GOgoBlΩcky #UgJ.*Qk US?$6y 7u:1r];U#I%4,n_䵳zm /=xsI(g!Iw*B+CAIKڠN01.R 8%!ݪ&;vOK*oXF<uiX+%i6YQQa,*I:(]2IJX8|T(P@av0 >NRFٰn>(RR3Od7r1'udǖR78)"铞]`t8RR5p|P) sh#DVHHT$ f].HQTELR;{O t$4tRHx'~lDAQ RW..8QEmh!yJIUnp⨌{gvҭU~MPrh$^BϪOGVu<8)kgx >P||#sDa PRuqóӏt ={+&ܢn}͞Hc,ʺr{%Te Q f9EhR, *(#dߤxQg(uItz?tY7)5 laxu]p!?Yo4d jXvXLvL#kF`W\0K<yLB-c(@@alAjn# D$Uhh*Gr]pRx!"hyQhhSr=|Uq|K5Ahgt@o;S5:` )J ^KU;@uADdP! l"A5 vӸ+$W@ k[G X5 jb ʰ\+4kƵtV29UD-X i`n"5ohE^O Cpي`[byG5 6+V#&xٌ٢QMLCF2$6YSCжҮaAU"^4ld*js]t|sUIJˢ񢻇*T#!*P5l}W M) @h4%Z*Q%('SĦIKmLtnP%HoӘ-T~J: 75텞)UHv8=ҙSfkY^T [*H{mUtM>hRAv➳P7tkE;TϕA$%j䪭V돳 }2YARgtcZ 3pRwWq $4O0p{aHV\&\'NVVGukqM%!–I njCĶ)CzV¯M>ķA(U2ʛqD0Bj}g> QZ9삊Xd蒋cJZQoCίGW^xGW^K??1~}ID> m`/s^Ynum6IIE3$huΡe TfY.`pZ_f Ә)]q/qcM}LGvN#TW,&U{ϸ"@ʀKP$7Q *4Q8ILRlG)."ӚY,;E|+QlTMEم-BI&<"U Y H+4iVEVVF%d)hZkUAZ%tZ\+tUih'Ts[<hV_j*AT +d[Xi:/:놡{vbМ.JCyG)dhiT|\jl[O!dp"(m SNtCEն譥zl)ۣ7e[[\3pb-9G3H=5xHEv R?#=G#>e20?uxN>`v oN?=Yd n-p\N~NKɵ?Z0.=p<&L\槧?EEIJtQoKNΧGWnxGWnK/~b0Uz}3q Z{&$ݳxu- GK}SяN ~Ht@`UYmķ0k69]>_Mavedz=÷#5%;Yʕ|րBm qIHj#U7Nˁ(rL&!9:&J]Ϊ`C*NI.0ϒΰFs>K6H,j(BiEID'슙&H$ۨHTvT/S&I -9S* ѓF@#k6&6;GSmew8Er*H"O HN$C)!a՘&IQxKcСiJqR㔡xm6P*wSR!ao ] \xO Z-2&<1kz-'ǡZ.)$ EvGM^u 5d5R%2A V F'IK$Ȅ$tI IT*>+$hv ֔AB4Zu"M#RԙNr(4:C Td8$:tNS%!%,AJ4JRIE$ *IBJ!O O)"TM %b(J H\RRIK%:t 0ڕơKqJ MhObrϳT-?)(bSV$SJ)OBZ a%ޡl54eհ>Z=7=GB+ X0ƯWtMTcta~:bTܭ/CG0( #1N٭1?hN?„߱_{BkfT.˺ԂivFmKzbxSC@" DuSR5SD4KHND;LêRvu:8n;3??".75K/~bK3vF]UJe~|q9Z0O|Ǔsv@!S|V˽vc,m0VL/mA*=3"Zul1 .hfױͲ (ٝXls*f\N\-@V&^gU[%au)z "D!:'p!3l %'lO뮪,xQ7jnEGw)!xQo*N3yC?`FgG^3e_ ٺofT~E, #,jc%Ha⯺אQD-A KT̢@ngۇ[ir-cjl@glj]dn }%R{lA)O'$*7N{ ·B@;Unj)RK9_@Y'ܑRlW͝J0 w.kF?F|}AlL~ JNa$aMAh_>IRRʄn#S")%! mRQ#L'6 I09 賜@%rkp6(9QĽǔ`Qfא'7֋"#$uPqj^<&ܖm򤣴R:' -Hue$G)[濨KKq )"N5jG%5!EXJRI2rpD #S*J$tP qM%W D tNrS$SD@IK|:Jc O)RI%*4Iԧ!4E6A7^jDjcr 5$%<>c ޣc OkE"9{*Mo=%me@"U9UMy+UG)w>6&S<];9OIFS0ׅia %V&SJ~VEŮ1k_* +u.g@~;&5DGnyC( i;jǮZl3~Uygԏw#Կ8E^ӿ3/^)eVG/tVuXA}[ ?`iGl:SW DN(!|Q:dDZEkp,E-d}s-r7=<_`͌wye*38ޘ:*9/6X]Պ.I0\IVଵʮK} J+]b5KJ7E!kx()ESpR:(iJOtl೬>kN> =%J(`mЩXHe*@'Z$(ATT])HÔS6$^3F}L 8$Pj.%2N&D kxL42ZFI'U 9TՐ{ 9I%'-qqrq昴[_y'd9"Bh֌wI%Hz@6Jk.N*ta ?Rp +?PjHKrIęH$Dr(e6JvBucʒnQK!1q8a) ֘u TxHVSZh D$Ji8#SV &)KU/)*t$kpJEA4a7%RRun Un1)h-[ޛq93pBxJک #C E!E4a)%XLjR@QyQ%rc) H0RD-ʗJa XQpI(JXyR5Ť%j Igfඛv%EL, %L="6H;؋PXIf)*;iaRYP¥_t F &aJYȔC+!9K_[\ WNyx"uIRVouaY⡖"N _z?_?1,k$htj{{7tq*L6jJ#o? BkKtE80Jb r6H7HDTnH(!ftLϤUOK{?Mȼ_^Y7r,`o.$%2e0jc]d4e5cZI|[{l<6b044\tZCtv-uJIe 2(TwD8Uo=KMyGĉU-R6X/!T:"U<* lJ(Wjq,Xlj 4B4ST|Z =C 7-7U$ Q)$ʝ\lpL6^*ʷ{[tvY=M SC^Ҿ; W(8[S$Wp@V WD Ax ^$'F81r)t6H(pY-TB4 r(M7lVHAQopqB f)UQ=weS^(AtBD#27=cTֻ!D SDjۦ 6!0*yQ-; Rtb;(`LE ZJsccάSRϤA)e#ڶ NhnhhR66 foQo*O jzhNJrS6*IO9N1VXiJ5FZZXL3Ω؝шn`m))N-i{FdgNbhpRm-MY4R{eִGwHZѢ4z)~E B#YDN;0ۛDpxxR[;^Ц)"ipBQi :O Ōnہxlhlobk ֔j.BJ-::Swm@o)sxLVRE E{AFO;1 [GvheR W* CrcoNQ}B;Dah Z *Ipm^KҖ!"31>%]਷,i)ġJmA"g>0uKQS_WO:J͒!{|U){S SnC|o/r(t!*.Vqy$7ڢϤxQgC҇uX/gYQt_ YVT~E,~bcHzҦܡF k24@u2}a c웲!.+fV>"UhsrrŬ{P!~3o?6ͥ;+2AFN^푪L`u35&P>$ytcYj\dB<*TB$E`i )K*l`5Fi Mli>ǵF%hi@rQBsO I#UA 蒖zMD }A#YQf !.%=uۉN mo)GG/Q)prD.Xpao)$|W$\R .!KP pG)Hq i<%%kZ1yNtťW"ѢǺp;z1Nࢯ6[D)](hu)Ka{ۗd5²VBa~>Dž$H+!o8Hb7GkQ{JJIMQI:$R#D..JW^+??"[{7IȥQY+_Q|[TF}=K8x(`G>(So>ŗD3:KXH50=]HuC/7Y+%ʋ^>Cl%JA4kejT+t( XJ!.E ְbJv5IK -$#~$ZJmZOuO{U7;ke,ԽV]`pM)kE7 BDõN,qnC z  BE #JT0SrsVހ _ f!ZBhd$S]jvc'hd5Ka,4V0q"uY}0~]5c=<{3xkRꯛKLbDZ=RZ ]T`WvwR I.:&nvⴺOQn5+'iNk-0Кu ,?}/cFr?-ِo?1-fJ=#r_󛣞^k7:>hʛ@ D#lSVr13A'DDRI'h%D,J8uE*N846י8s2cbhRp=%D-/RN}^@+~Sl 0ì, zx[Y&1Xy 4kE8b;I@`e&DUAu@E!q F+l'$IjyatGǰKTګX"-HU/a^sZypݯ_EMm٧X4L(Xax* ["(58CjR\uN!YҥH[tp{StROD쌙NsѪiHx(V[#aL]— цy*A1ao)#. h^;X\tNhw,8Ts yL7_tpSo)4 T;0)$Q7un!>k.R!  t4Rj Snrj.9wDF*A3)InWGtʐJoE XUfT(:#RB[*ܑ ̧"6*@m=="O ֘uQ Q[k"OmHT z Q`5OD)BSI1B&jEJ c)!i)I :JZJIH($5<$)'B\$^BVE C!<'$TKXNI&JT)OХU-ԩmz+ R%Zl}WvVh*?bn货cQғ;T@*/JW2"S[P(+ BI4U쒘{DJ6T]uO~GV@lr8A3x:`7*ʷzr"m&}=?Ro.r"ӶK#={2+lJk`Q ԥU<#ARd)*[JlS0@Pj\o5a&w ,TEtUX/fF|^3ӿU`Do)XgQ t`0|MW\_h<&j o#൲V~+ XM:b1 )ЕQp=ҧU r.O*u!Kw C)%NyUch2C[ 6JpRV e] J0BvD$)0jf[Ԑ[705%Kw\`rY%L$z/ EI4$sHeO Pz d<6p\*ȍȋM*rvH +;G+&jTsc6UV/t9[8Lwʰ.bi|H]` .ulcU_(֦U!%rxٌ Ɗ64 TͭL,"dĔi:(@O rԶj)^(֦mM{ v84)f9tnP=jxkuS60ljB\'btj! V#sa6XEʕ㢈ЧvJ V3ka0ݲ)iNҞ6cW BtBАNwIJLJt )C %j U $L VI2tH"RR'LRRJI*dRBII%)(I2J]$$@NIѐI0I$2WP <}WGw? ~׬  *Wj:$2㺟U?Ijm3(!7KVl%Iti3eQS)RgG-ҨmJS|koN+qN-߶̎ꗦO%7_"%*^\UgAIM=,J}2%XrIGWc/Ϣ9L4@hC;VfR18n#TXwq,C(v" '4Rۻ#CM^$#QhFD֗ _gwDNȤ'T[P hC9 hh.e(R}E)-#]W8Q.wXpI<$U - *vM'Mp;+%$f%4J2F#&FD'sKt)F.*lpwV"Q(H))'S /s>(BcqQaA0s}R 8ȕAEpl4 M`-[kt%ɺxYp G>Ja+j;}Kα!?#g(L&B}F?r_蜇!-V9Gg)ehU48㴎y+ah(RFBLrn8j/]졀ptNr MڙIHj~ KUX/d ƺtO4NU.m9 @U뭣}XH_5*{q 7jVQ$hTNJC3 > uX5W۬*YnIR lt2 ;m(2]MiGPO]}Ħ8I6`$(t_Ul^=KDxQZJ+k,:L xU J}Ĉ*)XTd&JJI)IuI a[WXZC$XƊ=5DB%\R Z]!d)ǹY^Q 4%U<8'Kt  :A@E!UIV/xw#)XUis[7W)GtpwAg+UQ}fmp"d %"ZpXwmcT ^T4@E @+\eEf"umQQPj{@RuL;)xv㢈 وZ))tJRIKȄFS dRDБJJd &0>%.]\e)7*WCQ RImΰ*4GpUd =%%[$EE%,ԓ%(T)D2RI)R}RW S&'$ ryJS J:tJ'0%ZD2{lL t}\OHyhVEVY+X1']&:m O~~(}B"7h\?LRk9Oq)IB0An&9+QƂ,ȹ eFw٫SN GYZv8[,tJ&> "8)|^&CkZ#txg\~nq$uKLoO{!Zo2/Y<9(,p?H~ bK={&ZOP|Ŭ{ft[1DC@Bmaʓ!EJx=?I D'$E~jyCҪ^?7_ ٺ'F_Ŏ?9|sY u,3_d:uceof́K {hCC5*@S;4 0Ju'Z$QR|T| s*A'[Q$j̘O[E#| ZT$yQDQ‰.?ܖ2{6 LIDk R;@<ԓh҈ʋR9BH!H's6PT +k/R8J¸IB ؐ)#eKEmNr' =T(f RI*Hh")BI$BtnI Je)D)SgXO>FTW1' ; WhcU-W;*&\ SXd6QԷpc⅛SX}Ceg .2UbIAE.2mRSlU`e5&d=@p벢|Qk{Z8)a UƲB 8JHn]lZS$*9@6j2cKAР(Iiicc%f3r^J5T'T$CW.#Bֆ#rd#cMZ4cRiHܗmap p5rDɒ(K^5gq:62y@ĹǺHTW)`JSTnҥ_5!ҥtJ5(lW*תY^J- cO(\l{je C VCSH,2 Tc̃kh>rOܘq(^QPYމEod[@n=]ϧ?KsY3,gQ*ׄWulĪVѪw 4XM*ɋNJ)YUm3AMv{D[<~WngU_ ٺF_Ŋ?I|+SVDhk$ƘT29Ew:%"i@[*RցcD}$P!L- &%QE4V1AUUJl*U*6yR`P#*֝UIc . XNe`,>)KRl#՗'< ChdJO eE:adnwB4nY`qPSPP6ae*U\\UA&!D[[TU!*UX:n<#SYHg)&}$ WNs~W>?Ŏ?<.83&4DPFi:lgҏlJ|KKG%U Zr`1˄4'd[%?8XAn(RQH$%pB%iT0i Z%@r %h @8 qv` yRu Bµ ?HhAhW bTYqAOd(DL'[PIDR⡸1f> h @ 5IjpV.LOu0|PФKTAJTuQ)Sc7}-QYQaQDVlL =Yr'ٝh(3ܠIEeeG&;$Qci H*9O)=S0'EeEBwVENLvV^%2tIRIBI!E6(H]Yeδ)Z^k2 K;2XuQG*S*RWqc:%F H ]Uf_A HYuTʘI7$RJ$LSrScKGADR&$K  4@B..2Sm'BCuY/)nxJu)) JAc[pI&Jh%2AV~ tQR!WKeJ;RPI 3&Tu:)$Un]ejt r.aeBz{\ 5~]>T^ d t'IJI:d铄tz˥~"w)]W:_ZyC.F˫V_ޣ+S ) tV1KSHN(aio`J{E߀^=*߀R梲9|U6mavoiX=?1ؗݕ2X;a%V.l;>$[9^Mm#Q+ tdèuTkЫMU: U"sAvU}Q`^!j+>7fˁj@@Z_H+SfUcr0!Rw&lADeO *^*-J`E*w*Ŷ --H+RvTsx@"~U%X")h>VIFD/-ªm gTĂJeބaU`Qr 1,ճʳ* (AcnxCD4XNYNF75^y<;ElcʭUyD94R J v;sQ a:h:#JSL "_ΨANn* f"umhcUrSՔHR ǹD%cLf"ue)L%.)H*$$6pc7#u*`!* >ҝ=H!HµSlwV6Xp@ѹj <;-}\T@Hhu- {u1g k2+Tޔ4Wpk;T3Z4)*S{̒iJ$$$:IXڪjM -C8ASSmY*HhMntPq.2PTb115Y"z-@|om4ԧjYw,;|Q $n2PTȂh@sݠ a‹~s(D!Ѫ JδE8S^L(Pu|_eӴR;7KJ6f.Avt^~a ܕkT39BLHtN͕1@I1<8)~)R> Bt߳|Ҥ5Vm>¤3_ Y!9ڄCRZ)> Fm"PFӢH%8FtE4-UX/b p?U`Do)eV:Laa8>(B}@<1^>)\-j-0xŮ(&ڥ)C *ZPJEVL"x X(*a& WV ^T8CTB1*m1PJ%a 1 h6 @%1<" WZ@%)#?A Ć$VO H ((lw6+s)!8ooąΊ2ʜc ZT\]ADed_-< vP w(JDQwbq\Z =*%T]L8J@%Ҥs;(ERGb(1 AR| xwEV| 0Sm>)<X'ڔ)()1N<;m'FBlf5 C(qH;En풊+d*[Xi *]%/<&rqjaSgJV-<Ue/odW4IO CSJE)%I'RCUR$y%H<94e=VdZ2,Asg/sn%+7;uIXl=Ձt2DΛ խU]v4YmUWn1DzƟD}*c|%$}ukUW]?Aަ=Ҋ&O@oj3nǏ{r~}7_@*λd~w d7'B5;(H.V5V7OjwʯHVv`F腇G:J}H@7[:O#cUS~4zWъݮrtcQE~Xtoa.w`Aqq>Sb[َ4){FҏD ٽ !Vԓ(#twO1gfh"BTpb}Ү_n)WEt[?5;OݛħsAպ+ޥ1?(;cri^6ѕI0sKqxggtyO SO΄ e$@/SNfs$uhU-ٳ2wyS~/n75ej'Iu}L Px`+$oW-v+g~?vsGwiGI[4%U=SFxCTh}'PTm ~ 9KUh/ЦD,C(ut%c~Yc^4CDy*9hSߌ4,>i4 `MchݳM$AZΡ8ZܚX  %?rgln 'Y$&ZtT) %Y 4{w%tC4@b#?9"hHF)yaH?B;7)9B:۱t&?g +tA:ugv cwy)k՜s&гa}IRPO4Z~U>ϸz[ju>܂S8Q@G [}_tE픒;8f:gIHI:dP{*ȝ,G> stream xY[~Qࡇ37gIk'@RvB[z~9^'M(XCܯ/&\M>}'۶X*CufON|3,ֱ٢m3UӚ$֦kMj8]DdT9Y󭭼.~5<|3*ә(;p|T8\ڪfI89Mc9"TNSeca]i1.fNI|j d$mmli 1)EC?T [Z l:naGi '-u#wg;3DNK:iZs< h o&ZӊbCm"rA5x"0AJ*ښe^Za0$QKS=BCG`.I$CԠ,4٦3(̃m&3-]-4RH:ê[4F6# dX'hg: ߲NPr+=q'ɵN!#bHOT3V-2UOA&:^QsTNrAÌ"*3E܉,u*=N,ю@Za:`kEvjYꝝJ3dK84Jd)&D$u%8 :j>*z`csZA>lx:p\SgJi$%282搊"330Fhdq霺ы8 0U! I62rՓSZUʂ{`@%XolW~h5U3GSOޚ5=1vegdMfL _-`?oaDv 2;ڮ/.w#@kiv'X`G |{ûH'1haZ](W\C$ XοynP_&\}~Lb\Ս\$YhQ,cH B@{"<6«EPf1ȉ7^Wy].Xc,n窿f/ݞowh0ߊ2:4^nD0*e:C~h. mN~MpvaVۅ^C"ܵ14sӪnDQ:@jt)t'gV s:VF۶82O˶7Ӳ  8\P9( 1lf܋KJԫi* ^qԌ8fG[á gh͗P |s0<,b NTFZf1" n(r#q*͕/*ӂ>fOR򛏧OѷIwrN"#ԃ؀N mcv6=d\r|5j>>Ȗ D(KVAirqfտ:l7 67@6]@qW널a<\ ʲ<I>N\Bko\Q#8tA}\W ;e<,)8lJaꐮMkAJE#F!¦>2WClv|oڹ?؀˅T yX'AnYӍquͩ@=*+Y:T%Mb߻&Y{x{bO= endstream endobj 242 0 obj << /Length 34157 /Subtype /XML /Type /Metadata >> stream application/postscript For use with FigureWide tables used in Xilinx FrameMaker document templates. Graphic_Template_FigureWide_090809 Adobe Illustrator CS5 2012-06-25T10:33:33-07:00 2012-06-25T10:33:33-07:00 2012-06-25T10:33:33-07:00 256 180 JPEG /9j/4AAQSkZJRgABAgEASABIAAD/7QAsUGhvdG9zaG9wIDMuMAA4QklNA+0AAAAAABAASAAAAAEA AQBIAAAAAQAB/+4ADkFkb2JlAGTAAAAAAf/bAIQABgQEBAUEBgUFBgkGBQYJCwgGBggLDAoKCwoK DBAMDAwMDAwQDA4PEA8ODBMTFBQTExwbGxscHx8fHx8fHx8fHwEHBwcNDA0YEBAYGhURFRofHx8f Hx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8f/8AAEQgAtAEAAwER AAIRAQMRAf/EAaIAAAAHAQEBAQEAAAAAAAAAAAQFAwIGAQAHCAkKCwEAAgIDAQEBAQEAAAAAAAAA AQACAwQFBgcICQoLEAACAQMDAgQCBgcDBAIGAnMBAgMRBAAFIRIxQVEGE2EicYEUMpGhBxWxQiPB UtHhMxZi8CRygvElQzRTkqKyY3PCNUQnk6OzNhdUZHTD0uIIJoMJChgZhJRFRqS0VtNVKBry4/PE 1OT0ZXWFlaW1xdXl9WZ2hpamtsbW5vY3R1dnd4eXp7fH1+f3OEhYaHiImKi4yNjo+Ck5SVlpeYmZ qbnJ2en5KjpKWmp6ipqqusra6voRAAICAQIDBQUEBQYECAMDbQEAAhEDBCESMUEFURNhIgZxgZEy obHwFMHR4SNCFVJicvEzJDRDghaSUyWiY7LCB3PSNeJEgxdUkwgJChgZJjZFGidkdFU38qOzwygp 0+PzhJSktMTU5PRldYWVpbXF1eX1RlZmdoaWprbG1ub2R1dnd4eXp7fH1+f3OEhYaHiImKi4yNjo +DlJWWl5iZmpucnZ6fkqOkpaanqKmqq6ytrq+v/aAAwDAQACEQMRAD8A47pswUPFJEJQI5HBbdah ajb55YebrYHalI39FB+rw08eGSYLk1EBT/o0JB78MSkKja0fQ9L6pF/rcRTI8O9tnHtyaGtFbYxf VIjXvx2x4d7Rx7VTY1njbmL6nEa/tcdsTGyonQqlq61SAxfU4iOleIpjw7qJ7cmzrX+j+j9ThP8A lcdseHdfE2qmhrIW2MX1OHf9rjtgMd1GTaqW/pitsYvqsYr+0FFMIjux8Taqc+qM1mLf0VUfzAbf dh4d14tlVdZeOzFubdHp0dhUDGt1M9qpw1eXhQxRlCACOO1B2wsN2jqzcePoxlK1C8Phr406Ypcu rSgfDHGu1KBaCmFbafUjsTBD9KDFHEs/SZWvGGEV6gIMFMhJs6mzfajj33px8On3Y0nid+kDyqYY Se/wDGmPE099yP8AcxD/AGA3wra/9JReia28XrBhw+AcePfArn1W35xFLWKgUeuDGu5rvT6MNMeL ycuqW3rSlreL0WDel+7FQf2a4KTxKY1Ig7wQEn/IGFNu/SRqf3EH/IsYsrCvY3c1xcJCiQpyr8RT 4QACTsPlgKYr45oZpSvrW5IoFLRmhr4VGLYIEoyOCGRW9e5t2VEIjX02ND2HTpkTbZHGl80mpPRC kTpHtGCoIA9gemNNgguiM8nw3EkEfp7IrJyFD4UBpjTEwXAW6hg7wsCrBQiFTWm1CQMaY8Bejf8A OPX/AJMLTB4et/ybbJQ5S9yAKkGD3d+ZLW0iSzW3T6lKiurcg4ANWPv45Hh3aOPamPfWGW1MHCtf 2ycJjvaOP002tzS1MHDr+1X+GDg3SMm1ONyfq31fhX/Kw8O6PE2patwywGDhXlWj+GPDuoyGqps3 JW1MBSoPR/Dvg4d08fpqmhc/6N6Hpnf9uvvjW68fppd9YP1X0PTO5/vPprhrdeL0076wVtTCUJr+ 388HDvaBOo1TYnpaGDhWv7fbrjw72pl6aX+uVtfQ9M79H7dca3TxbU5pz9WEHD/Z9uuIG6eLamhO VtjD6ZNa/Hjw7rxbU39YItDDw6/t48O9o4vTVKQpQZNgujLiZOCB25DihFQT4UwFAVC9wLudhbL6 hD+pBx+FARvRe1MV6qIMosyBEDGXBM1NwafZrh6rZpdL6vKL1IhGAgCkCnIfzHxwBVm3bCkOpile /r+pBWJQQB6Y4/b32J8cWJDlacXE7CBS5DepEV2QdyB2pg6IF2tJl+phRGPR519em/Kn2eWHqno0 7SkQAxBQo/dtxpz36nxwKjNOk9PUjLOvpU9QugFOPwEUAwNsQk94jmweRafDQ9dwOlcBcuASMTTf zt95wNy715unqNT5nFU6msruaOD0m41jqatSuSIQ6DStQEy8nUqpBI59sd1L2f8A5x8oPzG04dwJ f+TbZKHKXuccj1Bgd2JV+rRu24s5T6fDjx5KT261wOGeaTQ2U9yCYgtF2NWA/WRjbIQJXHSr3pwG 3+Uv9cSWXhlv9F3poAqmvT41/rjaDjKHkieKRo5F4uuzDwOFjTZnP1cwca16NXpkTHe0iZApr1mW 2MHCo7P261wEbpEvTVLvXb6qIeBp/P8ATjS8W3Jv1mW0MPCobq+IG6eL000bh1tTDxJH8+Gt0cRq l5uT9V9Hjt3fBw7pM9qcbn/RfR4bfz/TXDW68e1O+tstqYeFa9H8MeHdHHQpo3LLaGHhXl+3jW6O PalFfsivfJMei8bEEHiw3UjqMWJcWl9RpPUbm9eT1NTXrU4opxRvq5b1QFDgejWhJp9qnTAypuRD zhVpg4ZRQ1JCAnofCmFJWFQrsoIYAkch0PyxRa7gBEZOa8gwX0/2iD3xTeznUiSEGYMSooan93v0 PhgYuoxmmHrgMoaspJ+OnYH/ACsUrKf6NX1AF509GvU0+1TCvRzq1IqyhgRsKk+nv0Ph44F6o1bS 4inrMS4lSRo5eokAUjkDi2w5pJcxhhArCq/tDx3yNOdHkjotN0ppoYPqzGScfAFpufpI3yuUgGyI tM5/JsiQ1ttHmkm2pyKqPn9rKfHDb4RSm68vavbRepe2r20bHjExINT4bHLIZQeSJ4yEDb28i3KV YkBhv9OWtT2r/nH5v+Qm6cP+Mv8AyaOWY/pl7mif1B5/BOizwSI3P07Ri4qW3ANftYKcGKrqgLWO naj9Wijhuw8asrLVnRyTyUbrkS5eHcWx++vGtpBFxZuQ5AqfHthBcilEazRVjMDE1G+3jhRIbMg0 zTYtSkma4n9J1MRA239Q0I+gYhxMkUsuY1inkjVuQViobxoaYWgqf1oiAwcdv5vpyBG7Lj2pcbtv qvoFdv5/px4d14tqd9adbYwcag/t+GHh3tPFQp31tham341Dft48O9r4npp31oi2+r8ev7f448O7 E5Nqb+tN9W+r8f8AZY8O68e1OF2RamDhUH9rwx4d1E9qb+tEWzQca1/ax4d7Xi2pTVgANskxb5jw 28cULtjirnWP0ujetyFDX4eNMUtOsJKekCDxAk5d2709sVaIofnirqb1xVaVFa03xWmiAcVcVXbF LYCg4qmcep3F0YIpSPTto5EhAFOK8DtgLZA7hJVVZJIQzBQK0J+eAObFXvbbTIZLS7lVrlAf9LgD 8ORDbcGXcDjlc4t0CnLebfIARQPJ9adK6hcVzDOCd83J8WNckDqGr+WtTEUenaKNImibl6/1mWcM tPslX/XluHFKJslhkyRI2CG9CIcWEyk8gCBXffMohx3qv/OPn/kzdPI3H73/AJNnJ4vpl7mif1B5 npf+9kp/ZWOU/QFO2QJ2cSIFp7q31SbSdIaOoV5JGoVgBFXI/Z+L/gsiHOhVbMW1KWWK6jSBQwbi rkiu1Tkg2FqSZ2vTGFHpelXlxG7V8ckxVrpZAwmqAlEFAd60r0wAuNmiVMmuScUrmuSLUw8Dv+39 OQrdlx+mqd9YItRB6Z/1/px4d0ifppv6zS1MBjJr+32xrdeP01TQueNmYPTNT/uz6cPDujj9NU4X dLX0THv/AD/Tjw7oE/TVLmulNqIPTNe0n048O7LiHDVNC442ph4VJ/bwEb2gT9NU4XQW1MHp7/z/ AE4a3teL01Tjcj6p6IjqT/uz6ca3Uy9NO+sAWnocNz+39ONboEvTVLQxCjwGSYriaqMVa6YpcWp1 3GK07l92KaaJ2xVqoAxWmiwxWnH36YppMLezuLeRHmjKiWJ3jJ6FeB3wFlEbpHNbpL6SSVCmvTIu bFUh0zTZbmO1X1Xmk+yqkEH78hOQAbYxJOyaz+R7hYC0FhcyTbUUsoHvvmMdTHvbxgkg7jy1PaRC W+sZbVGYJGzSBuRpWm2W48olyYTxEDdbFYWKBJE5cw4AqajLy029a/5x7/8AJm2Hym6f8YzlmL6Z e5ql9QeZ6aQbqcCtTDOBv34n2ys8nFCc3rzLoOjIZGDRtJxUsPgPM/s8AV+85EuVh+ndjl1IizAS ScGegA7sTXJxbSt9SFD6Zf8AeU5cD0C9K4koWalNJ6qxrtCVQkUFOQHjiHFzXblOwrknHVDckWxg 9M0P7fbrkK3tlxeml31ki0EHDY/7sxrdHF6acLhltTDwqD+34YkC0iR4acbiloYCla/t/M4eHe0c XpqnG4paeh6dQekn01xre0cXpp31ki19Dh8n7dceHdePanC4ItTDwrWvx4kbpEvTTf1gi0MPp9f2 +2PDvaeI8NU0Lgi09Dh1/b+nGt0cXpptrgC1EHDr+32641uvH6acs/G1aHhWv7eJG7KMtqWhqID2 8ckwpwIIqenjitL2uB9V9Dh7h/pyNbszLamhccbUw8Klv2/DGt0cVCnCcC1MPCpP7fhiRuoNRpo3 AFqYfTqT+39NcFbsr2WtP/ovolN/5/pw9UXQaW4ItTDwrXo/hXBW6RLak0XVJ7tbeOQAC1hkVKVJ PwHrX5ZIrA2QkqOkk1uHYIATUtsPxwOZFVvYdGivbe8et1ACPrdskojLUPRHUGgplU47bN0DRTz/ ABX+WwUcfKVwadjqs/8AzTmGdPkvm5fjQ7kDqWs+VNRWCPTNGfSJYmLNM969yHUjpxdRT55dgwyi dy1ZckZDYIZxb80KTK242BFcyyXFL1X/AJx4FfzNsT0+GY/8kzksZ9Mvcwl9QefrpyadcwyK/q+t aPNTpSqHbbIHcOCDSZ6pdTXelaIyxynk8hWMvM37Z+yCOP8AwByFU52OVhiGowepeISSCvxL86nb JxDYSsNopn9VyeRSgSnYGta5KkBfqEx5rAB1CNyr4DwyIDTml0ajBoMm4ZNlVe4b6sYOBp/P9ORr dsMtqb9dhZrDw6ft/TjW6L2bWdxamHjUN+1gI3RxHhaM7LaGHjsf2/pw1ujiqLjORaejwJB/b+nG t0GXppxuT9U9Dgafz/TXBw7rx+mqbW4AtTDw61PPDW6Yz9NO+sMLMw8Nm/b+nGt7TxemnfWT9UEB T/Z/TXGt0cXppzTn6p6HD/Z/TXGt0cXpptJytqYOH2v2sTHdRP002JyLU2/Dr0bGt2QntThcf6Ib fhv/ADfTjW6ePaneufqvocP9l9ONbo49qaFyVtWgKVr0fwxI3UT9NOM5FqYeHX9vEjdN+lo3NLX0 Sn+zxrdeLamjc/6MIeFf8v6a4K3TxbU0LnjbGHjUN+14YTHdHHUaRcFrNBxaUcRLE7oKgmnA0qB0 wpjzCSywxusKuPhNa4uZFEWNhpUt3HaNA8sz9OLhd+w+IgZTOVN8I2m9x5IufSY2+mlpK7B7iICn 0PmN+Zi3fl5IC48tT2UAk1C0W3DtxjKzLJyNKkfCTl0Molya5YjHmpixs4/TeNCH5CjVOX00l69/ zjsP+Qm2f+pOf+SZyUPpk1y+oPLdLaYTOjhi5gkADVrQoaUrgJcDlsnGo21zHouio8TLIWkop9UM fjPYjj/wORJ3c3CPSxq9uJIbqEU2chWr2G+Si2lbLeytctbAgRceYNN6g9K4kqGtRjcXCSBT6ZRa t13piC4+e18ZPDJOKqNdUtTBwO/7fbrka3bOLam/rRFmICtP8uu3XGt14tqbF1xtDBxJJ6P2wVuj i2pwuuNmYCpNejdutcNboE6jTjdL9U+r8T7N261x4d7RxemnfWB9U9Dga/zV261x4d0Gfppwugtq YOBJPRu2PDvaROo1TZux9UMHA1P7fbHh3QJ+mmvrK/U/Q4nkf2+3WuPDvaeP00u+sj6qLfgeX830 1w1upmKpYK0pX5YWtsttvilotTFNu5YqvF0BbtAUNW6PkTHdnGe1ONxS1NvxqT+1jw72nj2po3AF r6HHf+b6ceHdRLanG6H1P6vw37N9NceHdePalouALVoOJqf2sa3RxbUjY7oTlA0aq8cLq0grVqIa V+QwlMOaUR8HkhDFVArUtsMXNiip4dDtr+zu54/rtspX65bLKEZyDUhWFaAjKZxJb4kDcsmfzl+U lNvI0vt/uSk/5pzDODJ3uSM0O5Kdb1vybqaQJougtossLEySvdGcOpGwowHTLcGKcTZLXlnEjYJc eBdKSqaMNgcy3FL13/nHX/yZlof+K5/+TZyUPpkwl9QeWWM6G8aWNncJbvT1etQnTbt4ZGtnB4t7 TfWr2afSNGuuCoSZdlV6fbP7Rcg/cMgA52OVgMcvt7hahSSvKh8MsDMqcKKJH5UAIND1NfniVb1K dvUSDanFG5HrsMAac0+jcf2cm4io9x/oxhKE16PkK3Z8fppr6wfqYg4H/X+nGt2Jn6ap31njamHh 1/brhrdHFtVO+s8bMwFOv7dffHh3tPHUab+tUtPQ9PftJ9OPDux4/TVON1/ovo+mak/b+nBw7p4/ TTYuQLQwlCSej9sJjuonQqmvrHG1MPA1P7eNboEqFN/WB9V9DhVv56jxrh4d7Xj2pYq7DCwXUHWu KW5DD6PVvX5bDbjwp1+eKktSGDkvoliOI58v5u9KdsUWtoOvfCls7fPFNtEivtgVokeOK21yXpTF Nu5A98VtHQW9xEayIVEkTshPccDkSWyA3SaS3SQRLIDQ9hjzcyKNttL0hriC2aJ5JZh8PF1XfwNT tlU5U3wjZTK58kThG+r6eWeo487iICnfo2Y41MW46cpfceWpLGMSahai3Vm4xlJVkqetPhJy3HkE js1zxmPNTjtLGMo6IQ3IcSTlzSS9h/5x2/8AJmWn/GOf/k2cnj+mTVL6g8r0lo0uWcj4VikP/C4J cnBHNPNburW40nTJYgoRfUr+6iQj4z1Kmrf7IZCLnYzYYteqA3MbHiAPvOSDasjI4+9aEDsCMJQG 9SkpPHEAOPFTyp8Vae+AOPmO65COOSccr2uSLb0OB9n7dcjW7Li9NN/WD9UEJT/Z/TXGt2PFtVNG 5pamHhWv7fzw8KRLanG5As/Q9M1NfjOIG6DL00uFz/ohgKV7ep9NcHDvaOP01TRuj9V9D0/9n7Vw 8O6eL01TYueNr6BjJr+3g4d0cdCqbFz/AKGYeFT/AD4eHe14/TSkvYZNrXqju4SNS7seKqoqST2A wKSv9GdZHiMTCWKvqIR8S061HamLINO0v1SnpD0i/wDfU3rT7NceqC27uJoXaFEKqvEUoHA7kd64 KVafidmoAWJIUdBXwwoXOx9AxcFNW5epT4htSlfDFLUrS+pBWEKwVeAp9vfYnxripLg8wmmIt1LF W5R8SQgPUgdqY0mzamS/1UJ6Q4c6+vT4q0+zXwxVt/UrAGhCkD4KD7Yr1PjXAqYy6jNdTUkhWD0Y 3X00BArwIOxJ8MFNsDuk8LI0sJkYRrv8TdNsXMiiLptDhvLa7KJerHT61avKVEhBrsyUKimU5Ikj ZvhIApw/njyRT4fI9lTsPrt5t/w2YY00u9yfGj3JfqvmDy9qiwpZ6Fb6NJESTPDcTS8wR0YSkjbL 8OExPO2vJkBHJDK0BZAsqseQoozJcUvX/wDnHb/yZlp/xjm/5NnLIfTJrl9QeTaUkv1llb4H9KQr yFOq7dcBLgAG2Q66l3+g9N+sSxyOOYHAxkAcz/KoYfSTkBzdhj5MYuSWYV3+AfLrhDMoUzMlAG5c jQ7YSqtqUhM6Rg/AUUkEb1piHHzLoxt4jJOMW3uj9XMHDY/t/TXI1unj2ptrk/VRAU+TV964K3QZ +mqaFzxtjBwrX9rDW6BOg39a42nocK1/b+nHh3T4npp31mlr6HCtf28eHe0ce1Nm5rbfV+H+z/HH h3ZcW1O+shbX0CtSf2seFiZ0KXC6ItTBx69GxMd7UZNqWIBxGSYKkSOZowj+m5YcJa04nxriUEXs qiG6a8uQbkesquZJuZ+Og+Icu9cB5JiDyURG5sfUEo9L1AphrvWleVMPVNbOnjlUxF5BICgZKGvE fy+1MVIpbQ13xVcw298VXSwSiW2BmDGQKUbl9gE0ofCmAKQt9G49e4QTAOiuXfl9sDqK964rXmsM chshJ6g9L1KejXflSvKmFejcscqm2rKDzUGPf7G9Ke2BPxRj2V3azyC4+J3R2D1ry+E71wW2QFFJ ZIo2EQmXku9Ri5sUVa2WmT3kdnFZmWZ6UAYip8MqnIAW3QjZTa58ianwIg0gB67c3agHfMf8xFv8 AoG58s3GnQ+pqdksKu3GIhm3NNxvl2PKJHZryYzFQS2sVEbJHRywoanLqaCXsP8Azjr/AOTMtO/7 ub/k2clj+mTCX1B5TZlJ7tirSSxi3YEP9rZN1Ht4ZHo4R3Kc6h9WOhacsaNEF9QFHZWb7Z6gKGX6 Sci5eE7MflUmQfy8Aae1Tkg2lDvEWbkCAoNa9vlhKu1H/eqOv++1pT5Yhx86+OnEZJxV73AFt6BS pP7f05Hh3tlxjhpxuAbUQcDy/wB+fTjW6mXppv6wq2ph9OpPR/pxrdHFtVNfWFFoYTGSx6P264OH deL01Tf1gfVRCUNf5/pw0bXj9NUua4X6qITGeX8/041upltVOE6ramAxksf28SN08W1ONyotDBwP Kv2/prgrdROo1SxWp1ybUq0qPHFVjIPoxS4KvUDFW+IHTvirumKupXFbWMi13wq7016eGBaaCrXp imnFV8KYrSYm8+sFCUCNFA0ZoSahUIB9siWyHNJ4PQM8HrOETepPTC5keSIuToUF/bXhQXkKU+tW olKeoQezqKqCMpnAlyISAT1vOfkChp5OFew/SVx/TMI4J3zcoZY9yWavrnlvUhAun6ONIaEnk/1q S4DgjoQ4FKZfhwyB3LTlyRI5IRZIGZFWRSVYbDMouMXsP/OOgr+Zdp/xjn/5NnJY/pkxlzDyjRlX 6ywBIHpODTrTj2wT5OFHmn2us7abpoZn+FpArOzltnP7NOP/AAJysObCqYlqqzrLCYa0oOYHUjfr kwzU4y5ugQCIjHvXpyrhKq+oKnrowYV4qvDv064A4+ZtB8OTcUhe9wBbGDhuekldhvXIcO9sjP00 164+qiHia/z/AE1xrdiZemmxcAWhhKEsf2/DDTLi9NN/WF+qmDgan/dn04DHdb9NU364Fr6HDf8A 35261xrdHF6apzXI+rCHhuP2/prhrdTK41S76wv1X0Shqej41uni2qmmuKWvocK16PjW7HiqNNfW KWog9Pf/AH5X3xrdHF6apsdATkmLTEj5YrTifuxWnE98WS3lQ7jr0xQQ2WIFcUUtLDw38cVcWH39 8WVOLAfLxxWmiR36YrSNS3lh/vV4iSJ2TpuCh32wFnDmk5hjk9JJE5A12wOZEq9rZ6TPeJapb1kb bdwg37VYjKpzoN8I2U3uPJr+hS3sofWr9p7qKlPofMb8yHI/LlAXPl5rCISahBCgduMYhlWQk0qa hWbLsWYSOzVkxGI3UVtbOMo0cdGLChy8loL2X/nHMf8AIS7b/jFP/wAm8lj+mTCX1B5LpKFrlhQE em/2un2e+CXJwohPdbKppGnARoAWkC8PVK1D9ix4/wDA0yAc3Gxu7mgjZRMTydQsQHc175INiHeS AOkSk+r9or+zxr1wlC+/VvXjk4/u+KqT70xDj5zuvQUXJOMSve4pa+hw/wBnkOHe2XFQpzXH+irD w/2fbrhrdBn6ad69LUw8K8uj9sFbp4qjTYnpaehwqT+34b41uvH6abM4+qeiU6/t198a3Xi9NOa4 /wBFEPp7fz/ThA3Uy9NN/WCtqYTHsdw/hvXGt1EtqbM4+qeiU3P7f041uvF6ad64+q+iE/2f041v aOL005p/9FEPCh/n+nGt08W1O9ci1MPHr+3j1Re1O9f/AEX0OJ36P9OPVb2d69LT0eO5/b+nGt2V 7LWuFFt6PDeuz/TjW6mWzZuQLYw8dz0fBS8Wy0z0tfR4VJP28JG6AfTTjcUtfR4b/wA/01wVum/T TbXI+qiHhU1+3jW6eLZb9YC2pgK7t+34Y1uvF6aRK3Es78pDXhCyL7BUIGSKI80rjeESRGZxGu/x n2wW5kQrS3GiQX1teiGG+WOhuLSVnCSkHuVIIFOwyqcbb4Ginr/mB5W6r5H0evYc7qn/ACczDOml f1OQMw7kt1XzLouqiFINDstFMJJ9W0eZi4PZhIzZfgwmPM21ZMoPRDiS1YoI5QxqPhzJaC9j/wCc cv8AyZVt/wAYZ/8Ak3k4fRL8dWs/UHk+jo5u2CmhMcgBHb4cjLk4EeafeYrORdO0sBeUsnqFpDC0 bP8AGerEkP8ARlcXYYxsxPUbQTNHWo4DkCBv1OTDJDLbj1RKSeQXjx6/TXJKiNRR/WjcA+mFUE9u VMAcbPzVI/sjJOLIrnulFr9X4nl/N265Ax3tsMxw05rlTaehxqf5u3XGt7YmfppsXAFoYSpqejds JG6RLamxcj6p6JUkno2Ax3US9NONyv1T0OJ5fzfThrdeIcNNtd/6IIOJqOjdutcRHdeMVTf1lfqn oFasejbUG+NbrxCqbNyosvq/E8j+1261wVunjHDTX1lfqYg4b/zV98Nb2i/TTbXCm1EHH4v5vprj W6eLanG4C2pgKks3RuwxrdeIcNLRcAWnoFTyr9r6a4kbrGW1NtcgWnocTy/n7dcFbpMvTTjcD6qI OJr/ADfTiBvaDLanG4VbRoCh5Ho2NbpMqFLfrCi0MBU8j+12xMd14xVONwgtfQ4nl/P2w1ukyHDT jcL9VEHAhv58a3RxCqa9dRbGAqSxP28eq8QpExW00aVkQqJI3ZGO1RxPTG0xG6TSRLIIlkHw71xp y4lE2drp9xdx2cVuHmbarMiAk9qvQZVklQboRspzP5JvhAwgsLf1q/ae6tqU+h8xvzMW84Cl8/ly 406FX1O2ij5txiMckclTSp+wWy7FmEjs1TxGI3UVgtEKenGFfkKHMhqL2P8A5xx2/Mi2/wCMM/8A xDJY/ol+OrVL6g8n04kSTb9YJen+qcXXgo7VOZ0DSXJYH94ORC7/ABnowYt94GQI3c3AfSEkv7m4 gaERH+84hzSpC74QHItRW5ma5MYH7orU1H7VfHCUK2oHlcxg1pwXbsaDAHGzr0Hw5JwyVzXKi39A oS38/wBOQMd7bOMcNU43CfVRD6fxfz/Th4d7XiHDTvrCLamHhUno+PDunjAjThcoLT0OB5H9v6ce HdRMcNL/AKwotfR4b/z41unjHDTnuU+qrBw+Ift/TiBugz2pwnUWhh4fGTs/041uoltS4zJ9V9Ep Un9v6cFbp4qFNNOv1YQFKt2fbxw1uvEOGmzMhthDw+Ift7eONbrxiqWtOgtjCV+I/t4a3RxCqb9d RbGHhuej/TgrdIltTTXAFr6PAluz9uuNb2pl6ac9wv1YQ8Dy/n7dca3Uy2ps3C/VjDwqx6PjS8e1 LWnUW3o8PiP7eJG68W1NfWALX0Snxfz/AE41uol6ac9wn1YRen8Y6yfTgrdJkKpxuEFv6XCrHo+G t1JFUmLX73MUaNGqmGJxzHVvgpv92JCYndIXkQeizsFUV3r74uXFebnRoL23vPRivFjIM9pKWCSU NfiKkGnbbKpRtujKk/fz95XIPHyVpAB2A53O3/JTMU6eX85yBmj3JbqfmLRtU9JYdFstHaKv722a U8wezCRm6ZbhxGJ3NteTIJDkhI5YWlRVkDUI2zKtoez/APOOI/5CRbH/AIpn/wCTeSx/RL8dWqX1 B5jDaLDqt1ahvhRJo+ex7Urti6696UNSuJwq6e5RobIuIWCAMatvVhufpwOZj5UgzatcuGptxCje m4xcgFwtJBUHeh2NewxKLbnh9VTcM3xIyxhOvbxxcfMbcB8OFxG3uALb0PTNf5/pyPDvbMz9NU01 xW1EHA16c+3XHh3tTP01TX1hVtTCU3PR8a3Rx+mqXC5UWvocCSdw/wBOPDuyEvTTbXH+iCHh1/b+ nHh3Uz9NOe4H1UQ8KHs/041uky9NN/WKW3oFKk9HxrdPFQps3B+q+jw6/t4K3Xj2cbj/AEUQlPi7 Phrdb9NOa4U2ohKfEP2/pxrdBl6acZ1+rGEp1/a+nGt14tqa9dVtvR4fEf2/pxre14tqc84Ft6PD c/t4AN0me1U5pgbYQenv/P8AThrdTLaneuFtjDw+L+fGl4tlpuF+rGAp8RP2/px4Uce1Nmdfqwg4 b93xrdPFtS1rhfqwgKHl/PjW68W1Ni4UW5h4bn9vGt08QqkVFbzRgs6kB43Kk9/gP9cVjzSWSJX9 JXXkO48ThcyJV7SPTJL1LX6tGXbYmRxGtfcnplM5UG6AspzceVSYeNvFp6SV+013Gwp4UrmN+YHc XI8A94S260B9PhEl6LV+bcYxBKshr13CnbLseUSasmLhCnFFbo6FIgjMwy+ml7P/AM43/wDkxrf/ AIwz/wDEMnD6ZfD72o/UHk+nU5ylif7iXf344HXAIG+luAlI2oD9oEA4C3xkgBqV4mwf8BgtvEi4 atecgOY29hjaeIq8UzSOXY1ZjU+FfoyTTkRtRxpXC45DmuR9XMHCp/n+nI1vbMS2ptrj/RRAU3/m +nDW9qZ+mnG5AtTBw3P7eCt149qa+sgW3ocfi/n+nEBeLalxuR9VEHHf+f6ca3Uy2pp7mtuLfh8m r748O6TPanG4AtTAUqT+17Vx4d0GdCqbNzS19Apv/N9ODh3SJ0KcbkfVhDx3/m/HDW6eLanNOpth Dw+L+f6ca3QZbU76wBa+jwqx/b+nGt0g7NtcD6r6HHc/tfTXEDdTLZp51NoIONSafF9OMRuiUtqc 1yDbiDhuP2vpxpPHtTjdAWxhKbno2PCgz2pr6yot/Q4VJ/axpPHtTjcqLb0OG/8AN+OPDugz2pp7 kfV/Q4/F/N9OPDuvHtTjcAWxhKfEf28a3Uy2pMW1Ge5hjSWhW3idY6eBSn8MKYc0jDr6kJdgoHc4 uZFWubiwt7m3vkhgu2hI9S3lBaOUg1+MBh8tsqnG2+BrdOD+ZdsRQeUPL4PYfVH/AA/eZjflT/Ob /wAwO5Bal5jTWxFGdF03TDESwksIWiZqilGq7VGWYsHCedsMmXi2pQ4AGMg7qwzKaHsn/OOAP/Kx oN/90z/8QyUPpl+OrUfqDy3S7hBFPC67/V5qPt3Q5WRu4YO1JXc0MeSYgJPMKOcg5MVoIK8Qu/c4 ptH2zhYfS4mp/awgMJlMTcKLP6uU+M/t/TjW7AzFU0bhfqvo8Kv/AD7eOGt7QJCqaadfqog4fF/P t441uxMvTThOgtTCUq5Oz41uomOGnNOn1b0eHx9n28cICOLam3mi+qiHh8f8/wBOAA2yMhVNtOht lg4fGP29vHGt0mYqnGZBbelw+M/t/Tjw7o4tqc06fVfR4Vc9G28cHDuomKpxnQ23olPi/m2w1umx VOaaP6qIQnxfz41ugy9NOM8YtTDwqx/bxrdb2pxnUW3o8Pj7PhrdTIVTnnj+reiV+L+fbxrkQN1M hVNPcx/VxFw+Mft7YQCkyFU01xH9WMRSsh/b2wUbUyFONxH9X9H0/j/n28cPCgTFU5riM2vpBP3g /bxo2vGOGnNPH9WEPD95/NjW6mQqnGdRamHh8fZ8QN1MtqpE6cgeRkJ6xSU+fpscJTDmkl5EptCz CrgCn+yyJcuBSkI9K0wNriHBB7jFU8a8htYYT6IZpErX3yatW+pRSMA6HlUAUpTFBNPcP+cbgD+Y cB/5d5z/AMJhj9Mvh97WfqDynSbheMlq4AZ4ZVSRiAvJlNBU5Aje3DhLalsllN9U9CkRbrz9VKdf ng6shyQr6XKYvT4x8v5/USnX54swdlp0if0uFIq/zGRP64GXFsiIdPuBbGGkVez+qnj88LA2rmyu TaGKkRP8/qp4/PG2JBWSW0wtVg4x1bo4kT5+OHqjeqXPZ3BsxEBECP2/Vj/rje6KPDTRtJlsvSIj LHo4lTx+eJ5qAeGm3sbgWQjIiJPRvVj23r44a3U/S5rK4W0WPjESTTn6qeNfHADuneqbksrj6ssP GPn2f1Y6dfnhWV1Tb2M62vokRlm6P6sfj88F7rw7U42dwtt6HGLk37fqx06/PFIFBxsZ/q3okRc+ z+rH4/PEr0pprG4+rCCkfP8An9WPx+eG90VtTX1K4Fr6JEZb+f1Y/H54Oq9Kbayn+qmKkXL+b1Y/ H541um9qaawuDa+kRFy/n9WP+uG90HlTmsJzarF+55fz+rH4/PADup5O/R8/1cxn0an9v1Y/H542 mtmzp84tPS/dVJ2f1Y/H54koA2px0+cWvo/ui3ZxLH4/PEndIG1OaxnFsIj6XP8AnEsfjXxx6p6N GwnFsYv3XI/t+rH/AFxHNTypFWCyWgHOOKWgcH98i1DqV61PjgPNMNgkF2UMjRSRgItAAJFbp74t 0SohbUGiwr7VYf1xbbRkWn2EnEO0alhU+345KltvUbWzURBGScAEbECg+k4VJQ8MVorcjGBx3FGB 6YLY3b2z/nGtw/5g27LWn1efr/qYR9Mvh96L9QeOrdEWhgKHf/dnbrg4d3BEzw00bilobfhuej/j grdeM1VONwPqvomPev8AefThrdIkapc06m09EoeW3x9uuAR3TxbU76z/AKIYfTNT+39OHh3UzNU5 rnjbejwNT+39ONI4y5rr/RfQMZJ/n7dceFBmapa1yPqgg9Pfb959OPDuokap31gizMHp7npJt44a FpEjVJ/LqGjR6ckcKRNK9sUIMdeMlV3JI+0fiyFbszIcKld32jDUohBCP0evAtRfAfF8Ld+WEBSd k00/UfJvoKt/bj02DUiRTzRqk/E3QjpSmNLYrdq51PyuElRIUeB7cpbgLuJN93+GvhkREsjIUgtF u/LkeltDqEXK8q3pMVJjoeNC1PCmSIYCWyIuLryy1qkIEQdwRzVGAVtyx6dD+ziQytC3VzpH1a6S 39JecUf1fkOhH2xupo2NLeyRfWFFqYfT3PR/prjW7Di2pzXC/VvRKfEf92fTXDW6eLamzOv1UQ8P i7P9ONbrxbNSXANuIvT3/n+nGt1MtmmnAtjFw+I9HxpTLZv6wotvRKbn9v6ceEI4tmmuB9X9HhuP 28SN08RqnNcD6sIQnxfz/TjW68W1LvrCi1MJSpP7f04K3ZXs2ZwLUwcN/wCf6cFbpB2Su8A4UC7j 9rFsidkPG8YKh1NAd6Ur+OLO0Y2oxBUCoQyMzV9iKAYbW0GGP04EK8TD7JG/jil7l/zjJ/ynlvX/ AJZ56f8AAZIcigfU9yH/ADj7+UIi9IaB+78Prd7+v1srve23w41Tf/Qvv5Q+n6f6A+Dw+t3v6/Wx tHhR7nH/AJx9/KExekdA+Dw+t3v6/WxtfCjVNH/nHz8oTEIv0B+7HQfW739frVxtfCjVVs4f84+f lCIvS/QH7vw+t3v6/Xxve18KNVWzf/Qvv5Q+l6X6B+Dw+t3v6/WxtfCjVU4/84+/lCYvS/QH7vw+ t3v6/Wrja+FGqpo/84+flCYRCdA/djov1u9/6rY3va+FGqp3/Qvn5Q+n6X6A+Dw+t3v6/WxtfCjV U4/84+flCYvSOgfB4fW739frY2V8KPc2f+cffyhMfpnQPg8Prd7+v1sbXwo1VNH/AJx8/KEx+mdA +Dw+t3v6/WxsqcUe5v8A6F9/KH0vS/QHweH1u9/X62NlfCj3O/6F+/KL0/S/QPweH1u9/X62Nr4U e5x/5x+/KIxel+gPg8Prd7+v1sbT4cXf9C+/lD6fp/oD4B2+t3v/AFWxso8KPc7/AKF9/KH0/T/Q HweH1u9/6rY2V8KNVTv+hffyh9P0/wBAfB4fW739frY2V8KNVTR/5x9/KExekdA+Dw+t3v6/Wxtf CjVOP/OPv5QmL0joHwDoPrd7+v1q42V8KNU4/wDOPv5QmP0v0B8Hh9bvf1+tXG18KPc7/oXz8ofT 9P8AQHweH1u9/X62NlfCj3O/6F8/KD0/T/QHweH1u9/X62Nr4Uapx/5x8/KAx+mdA+Advrd7+v1s bT4capil15O/5xpt4LQHTpZhfWsV/aw2o1m6me1nEjJN6cBkkCUgfkSPh/apUVbXw4rf8Kf84xG+ /RK2oe59aG34JJqrryuJDFG/qqxT0jKPTMvLgr/AzBtsbXw4ou0/LT/nHLUPLM/mCDSy+jWtRNM0 uqRyKQFYAQu6zEuHUoAlXDLxrUY2vAHaR+U//OOOsXkWm2Ok8tQlt5bwWEs+qW9ykEE/1d3lhmkj lipN8IEigmhpWhxtPAEH/wAq5/5xm+uyaemjzzXcd4NPaCEa3KxuCZ1+D0y3ONWsp1aVaxqY2DMC MbXgCp5h/LP/AJxp8u6hFpmraS8F5OsbQwxvq85dp2kWCNTC8gMszQSCKKvN+J4qaY2vAEKPJv8A zi96sdvFpk0806wPbw2663O8q3MK3EfpLFzaSkLq7hK8ARz41xteEMo8m6b+Rui+YNOXyuqpql+1 zbWEsUl9cRu0HqrMnqO0kK/7yy8eRHPg3CtDjxFeAPUMDJ2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV 2KuxV2KuxV2KuxV2KuxV2KuxV2Kvm2+/5Vr6T+t/iGv6MT6j6/6A4/4a9Sbj6f1v9z9T9Wn+9H+k cvSp2xVLY/8AlV31O/8A0f8A4u9T/cVyp+iPUp6b/VPqn1z91631j1K+l+/9fnx/axVmmm/pL/Aa /V/rP+D/AFv9N/S31b/E/wCkvrY9L0vqn+h+v9b9Lj9a+P1K+rtirJbH699YsP0V+kP8bfo/U/q/ +KOPp+n+kbT659Y+p/DWvD6v9W/dcem2KvOLj/Av11f0/wDpb9O/pVOP1H9Geh6n17UfU/Rn1j/S vR+u/W6cf9Krx9P4eGKqv5m/o39LD/laPq/W+el+l/h36n+jfW9S8/R31z9L7c6/Wq8/9H4f3v7G KoPVP8Bfo6X9Nf4t9PhYfUP0j+gPrfD6lL9W+oev/pNfQ9Xjw+L1eXp/v8Veg6T/AIc/x/of1T9N U+u699V9b9Hfo3659aufrvL1f9K9WvP0vR+P0uvw+rir/9k= xmp.did:E86B3FE0E9BEE111B24EAA1EEECF6682 xmp.iid:E86B3FE0E9BEE111B24EAA1EEECF6682 xmp.iid:E76B3FE0E9BEE111B24EAA1EEECF6682 xmp.did:E76B3FE0E9BEE111B24EAA1EEECF6682 uuid:3CBF069AE3E8DE119DF59D92DA9C643C converted from application/postscript to application/vnd.adobe.illustrator saved xmp.iid:044011138868E111B3A9A839B0ED0BD2 2012-03-07T11:02:24-08:00 Adobe Illustrator CS5 / converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator saved xmp.iid:E76B3FE0E9BEE111B24EAA1EEECF6682 2012-06-25T10:19:09-07:00 Adobe Illustrator CS5 / converted from application/postscript to application/vnd.adobe.illustrator saved xmp.iid:E86B3FE0E9BEE111B24EAA1EEECF6682 2012-06-25T10:33:33-07:00 Adobe Illustrator CS5 / uuid:3CBF069AE3E8DE119DF59D92DA9C643C Basic RGB 6.833333 2.199999 Inches 1 False False Helvetica Helvetica Medium Type 1 003.001 False HV______.PFB; HV______.PFM Cyan Magenta Yellow Black Default Swatch Group 0 K=100 GRAY PROCESS 255 K=90 GRAY PROCESS 229 K=80 GRAY PROCESS 203 K=70 GRAY PROCESS 178 K=60 GRAY PROCESS 152 K=50 GRAY PROCESS 127 K=40 GRAY PROCESS 101 K=30 GRAY PROCESS 76 K=20 GRAY PROCESS 50 K=10 GRAY PROCESS 25 White RGB PROCESS 255 255 255 K=5 GRAY PROCESS 12 endstream endobj 243 0 obj << /Annots [244 0 R 245 0 R 246 0 R 247 0 R 248 0 R 249 0 R 250 0 R 255 0 R] /Contents [256 0 R 253 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 1417 0 R /Resources 251 0 R /Rotate 0 /Type /Page >> endobj 244 0 obj << /A << /S /URI /URI (http://www.xilinx.com) >> /Border [0 0 0] /Rect [275.58 26.4 336.42 37.56] /Subtype /Link /Type /Annot >> endobj 245 0 obj << /A << /S /URI /URI (http://www.intersil.com/en/applications/computing/xlinx-fpga.html) >> /Border [0 0 0] /Rect [162 313.26 558 325.32] /Subtype /Link /Type /Annot >> endobj 246 0 obj << /A << /S /URI /URI (http://www.ti.com/tool/pmp6577.1?keyMatch=power%20solution%20for%20xilinx%207%20series&tisearch=Searc\ h-EN) >> /Border [0 0 0] /Rect [162 297.24 558 309.3] /Subtype /Link /Type /Annot >> endobj 247 0 obj << /A << /S /URI /URI (http://go.ge-energy.com/FPGA_2014_XiLinx_Download.html) >> /Border [0 0 0] /Rect [198 281.28 268.14 293.34] /Subtype /Link /Type /Annot >> endobj 248 0 obj << /Border [0 0 0] /Dest (G3.446074) /Rect [321.6 697.62 366.06 709.62] /Subtype /Link /Type /Annot >> endobj 249 0 obj << /Border [0 0 0] /Dest (G3.473143) /Rect [345.96 685.62 390.36 697.62] /Subtype /Link /Type /Annot >> endobj 250 0 obj << /Border [0 0 0] /Dest (G3.473263) /Rect [437.1 685.62 447.12 697.62] /Subtype /Link /Type /Annot >> endobj 251 0 obj << /ColorSpace << /Cs8 1435 0 R >> /ExtGState << /GS1 1436 0 R /GS2 803 0 R >> /Font << /F1 1437 0 R /F10 804 0 R /F2 1438 0 R /F3 769 0 R /F4 772 0 R /F8 800 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC20 792 0 R /MC21 252 0 R >> /XObject << /Iabc1803 254 0 R >> >> endobj 252 0 obj << /Metadata 257 0 R >> endobj 253 0 obj << /Length 18 >> stream q /Iabc1803 Do Q endstream endobj 254 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc1804 780 0 R /Gabc1805 782 0 R >> /Font << /Fabc1806 791 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n>)W^.P/ Jcȅd2n~A1H[&!#((`aԜiJso"J*sBDQ@\Sٸs@61 Ej92;0o5"]zϐ&FG7uc*.BlH,>U]hߟ}j]֠zfQJxpfE3Rӳ6{ik_KaRGcb>tD'W9F&J[㫤̵R̃sV7Ө&#x?$_cxy /K"r!/dy%JivP(x Ph'-:A/} j endstream endobj 255 0 obj << /A << /S /URI /Type /Action /URI (http://www.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG932&Title=Kintex-7%20FPGA%20KC7\ 24%20GTX%20Transceiver%20Characterization%20Board&releaseVersion=2.2&docPage=15) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 256 0 obj << /Filter /FlateDecode /Length 4084 >> stream x[[F~0 麱Ț3D Y-5QKIݝSET_n`@s?NĨg/g7:6mUSu1F]LLlOFc~4Y(jvjvxjW Yq L:mdvi٧F5}38CYˉx5OphN^9kmY5/|ijWoU궘mc1[拴ly:mg/헛x3ۖVcy@%Ĉ!3}jNޖAMQ\-ׄ?ͥd cSEv^վXVAw4GL]tNUg3M~"pV{6o^;F?)Mj̏;2!Ȇ?Tq DyO]:1~&lj[,ыzv)sW2ˍ,XʴTƒ4AT?O-xB[f0ՐhAϞoח^={yfa+yw8{8ٗ4fqYm~-zv|G[0!|wsU6PSت_Nlt{@b=\]U+ńP@ۏ"8w~B}h9]YR=ē 9 v/lx=~ UЇcX%޵V7ekOV L$+G!4ʌ-! d'N<;Ѵv`7ݶ5(ccԇcu.yztpG%i6y+e+`_\ʪ%9USqF6sx즟p:3CP42u͙KszekIlODO${ en(DEdz0V:U>V&)|~͖x*[i)9Y#b("fvKCJT,sw+Xu9^ar=_]Ө̿h==qbJ͛ogW Fg5/ ʆ1v: /ݟ4J,ؿWr}$HKz?nʵ[`ul}Uy͉:fRYNf+``cYECi;34"4DǁNk»@JӴ:LrmDcNm.CZN}SB/6J}RV+bC)DNgZ*Co/ P)=Դ/8yve *)q1۴:`IIڑw[ ^')K NA& $h 6?| '1 PziFtkq ^zS)#,3mKKR?yxs44%yA+I^L~ p[̓(,4xkp;· KTxylpxV&EpT@%rr>U}lu*[]|sv˿=ur$g<x6 \ 8D 0\p'A2:j&l).RZƖT83ܙCq}O,4mYJM; Aj-.”LW܁IOltu~vؔ.5):MW2}! {=IM6!ud K] ~{<9HiT */{̏xF% c~Fs{S@eKyPͼ(;#5KOrfPSԬ=>gUwiAQLV,=b3dc1 d̸m5csKit/5{by,fQxT@~՘*Q2nr|'[Mm˸w'~-_5̿ͩCӳ|?a;O07;ض@eV!پ>$߯fǤhw8awǞo(Ξ{gCv?kvdvlIc^3䟏 oaRj{no3Xut\.u1׮ G_v}?} 17Fyq_n죲1c!#Nm47o*rSGm'r- kmz bhM8)|hFmOa> stream application/postscript For use with FigureWide tables used in Xilinx FrameMaker document templates. ug810_cX_NN Adobe Illustrator CS5 2012-06-25T12:50:50-07:00 2012-06-25T12:50:50-07:00 2012-06-25T12:50:50-07:00 164 256 JPEG /9j/4AAQSkZJRgABAgEASABIAAD/7QAsUGhvdG9zaG9wIDMuMAA4QklNA+0AAAAAABAASAAAAAEA AQBIAAAAAQAB/+4ADkFkb2JlAGTAAAAAAf/bAIQABgQEBAUEBgUFBgkGBQYJCwgGBggLDAoKCwoK DBAMDAwMDAwQDA4PEA8ODBMTFBQTExwbGxscHx8fHx8fHx8fHwEHBwcNDA0YEBAYGhURFRofHx8f Hx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8f/8AAEQgBAACkAwER AAIRAQMRAf/EAaIAAAAHAQEBAQEAAAAAAAAAAAQFAwIGAQAHCAkKCwEAAgIDAQEBAQEAAAAAAAAA AQACAwQFBgcICQoLEAACAQMDAgQCBgcDBAIGAnMBAgMRBAAFIRIxQVEGE2EicYEUMpGhBxWxQiPB UtHhMxZi8CRygvElQzRTkqKyY3PCNUQnk6OzNhdUZHTD0uIIJoMJChgZhJRFRqS0VtNVKBry4/PE 1OT0ZXWFlaW1xdXl9WZ2hpamtsbW5vY3R1dnd4eXp7fH1+f3OEhYaHiImKi4yNjo+Ck5SVlpeYmZ qbnJ2en5KjpKWmp6ipqqusra6voRAAICAQIDBQUEBQYECAMDbQEAAhEDBCESMUEFURNhIgZxgZEy obHwFMHR4SNCFVJicvEzJDRDghaSUyWiY7LCB3PSNeJEgxdUkwgJChgZJjZFGidkdFU38qOzwygp 0+PzhJSktMTU5PRldYWVpbXF1eX1RlZmdoaWprbG1ub2R1dnd4eXp7fH1+f3OEhYaHiImKi4yNjo +DlJWWl5iZmpucnZ6fkqOkpaanqKmqq6ytrq+v/aAAwDAQACEQMRAD8A9U4q7FXYq7FXYq7FXYq7 FXYq7FXYq7FXYq7FXYq7FXk+t+dNK1XzjqcL3l9Hofl/Q9XfzDZ21xcWc0VxZXtq0Uha2kjkQyRQ ytC3IFoyf2WIxVl/5ZaVqWneTLAancXM+oXim9uku7me7eB7n959WSW4eSUpApEa8m3pU7k4qynF XYq7FUs8y+ZdD8s6Lca3rl0LLS7Uxi4umV3VPWkWFKhAzbvIo6bd9sVS7UPzG8mWGpX2l3Oo/wC5 LThbfWbKKGeableBjbpFHEjtNJIEJCRhmpvSmKoa4/NTyVC+nqLi7uP0ryGnNaabqN2krx+p6kSv b28q+rH6D+pGTzTieQGKus/zY/L29sbq/tdZjls7O1nv7iYRzcRb2srQzOKp8RWRacVqxqpAoy1V VpvzL8kQTyxT6mIfRLo80sUyQGWJS8kCTsgiedAp5QqxkFCCtRiqbaJ5h0nWo530+V2a1k9G6gmi ltp4pCquFlgnSOVCUdWHJRUGoxVJ1/NDyE3oH9MRKLm7u9PiZ1kQfWdPVnukYsoCCJUJLtRaUodx VVQl/NryJEkJe7ufVuZI4rS0Gn6g1zOZkkkjeC2EBmljZIHIkRCm3XFWS6Rq+m6vp8Oo6bOtzZz8 vTlWo3RijqQwDKyspVlIqCKHFUXirsVdirsVdirHvOfmi+0CDTfqGmHVr7VL1bG3tBMlv8RglnLG Rwy7LAcVSr/Ff5mf9SJ/3NrX/mnFXf4r/Mz/AKkT/ubWv/NOKu/xX+Zn/Uif9za1/wCacVd/iv8A Mz/qRP8AubWv/NOKp15O8yT+YdHe+uLE6dcw3VzZ3FmZFm4S2kzQOPUUKrfEh6YqnmKsf8+eT7Xz h5YuPL13IIrW6mtJZyU9QNHa3cVy0ZWq7SCHhWu1a79MVef6R/zj6+k30erWvmOSXXIlh439xbcv UkhS8tg03pTQyH/Q74Qj05EYGNXDdgqm7/lFqR8v6ZpyeZZvr2mXWp3cWpyxSXEldTt7q34gzTvJ WH65yVnkYnjv1rirEtM/JDTNNe1htrzWH0u2vIblrSayg5yW6pELi0eSD0F9Kd7K2Y0jqOBryLkh VOdQ8o3E8beXV1HUrXRpLu51rT9PisB6y3JvPrhM07Sss0EN3OrrHwUnYMzDoqn66t+YOmxPJBYf 4ivbuUyXH1ozaTbW6KiJHHaxRw6o5UlWZucleR602VVhkf8AzjJp97cC61TWJTDcz3t7c6dFGQqS 6olyl0I5S4+IpNbpzMe/oA8fioqrJ9Z/KzzPq2u6J5ku/Mtq/mDy7JXTJjprC2MTxSRzCeBLtGeS UujF1lVRw+FBU4qzLyl5cXy/o/1H6wbu4luLm9vLplEfq3N7cPczOEBIRfUlPFa7Dx64qnGKuxV2 KsT/ADX1jX9F/LvXtY0G4jttT0+zluYZZYfrA/dqWIVOSDl/KW5Ad1bpirLMVYl57/463kr/ALbw /wC6be4qy3FUt1XzHo2lusd7ccZmAYQRo80vAmnMxxK7hK7ciKVxVW0vWNM1WBp7C4WdEbhIBVXR qA8ZEYB0ahBowBpiqMxViX5af8cnV/8Atva1/wB1KfFWW4q7FXYq7FXYqlOp2ur/AKXsr/T4bedY be5glSeZ4DWZ4HUqUinr/cmtaYq39Z81f9W6x/6Tpv8AskxV31nzV/1brH/pOm/7JMVd9Z81f9W6 x/6Tpv8AskxV31nzV/1brH/pOm/7JMVd9Z81f9W6x/6Tpv8AskxV31nzV/1brH/pOm/7JMVd9Z81 f9W6x/6Tpv8AskxV31nzV/1brH/pOm/7JMVd9Z81f9W6x/6Tpv8AskxVinne48yHVfJ3qWFmpGuA xhbyVgW/R15s1bVeIpXff5Yqyv6z5q/6t1j/ANJ03/ZJirDfLfr3ussdaRlZ9avPSjSJZoJZYoJo wkk7BWIiRXVKouyLtvuqreWDJD5l02OzMj6e2mxw2sssKwGWziVyGbiav6LGAIWUU9V6dTir0HFW Jflp/wAcnV/+29rX/dSnxVluKuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KsF/N7zXL5b0CzuYtYXR2u bsW6yf6KJZWaGRo4o5L7/RYv3iq0jy7BAwHxFcVZT5cuby68vaXc3s9vc3s9pBJc3Nmwe2kleJWd 4GHWNmJKHwxVI/Pf/HW8lf8AbeH/AHTb3FWW4qxTzNpPl2TUFeS/vLDUpqTNFpjO08nBTEJjDGkz AhP3ZlVQePwlitBiqN8p2Gg29oX0u5a+eNUtZbiZ+cyLAoCQMtF9LgD/AHYVdySRyJJVT7FWJflp /wAcnV/+29rX/dSnxVluKrZZY4onlkPGONSzt4BRUnFWIS/m7+Xyado2orqT3Fv5hSaTRltbS8uZ rhLUVnKwQQyTD0hu/JBTFVKH84/Isy6e0T6o6arQ6W40XWCtyDGZgYG+qUkHpKX+GvwivTFUdp35 n+Q9Rt1ubLV45rd4Ly6WYJKqGHTXWO7bkyAViLg8epU8gCu+Kpe35z+QVju5Gm1JY7C3W8vXOjau FhtpAzJPIfqnwxssbkOdiFJ7HFU+bzhoMflebzRPLNa6LbwyXM89za3NvIsUVeTm3mjSem1R8HxD cVriqBvPzP8AIlnd29nNqqfW7uxi1S0t445pZJrSeVYYXiSNGLtJI4VUX4z2XY4qpTfmt5GiMSte ztLKk8jwx2N9JLAloyLcNdRpAz2wiMycvXCU5DFWVQTwXEEdxBIssEyrJFKhDKyMKqykbEEHFV+K pbqWpX8N/bWNjbRXE1xFNOTPM0CqsDRKd1imJJMw7Yqs+s+av+rdY/8ASdN/2SYq76z5q/6t1j/0 nTf9kmKu+s+av+rdY/8ASdN/2SYqxTzvceZDqvk71LCzUjXAYwt5KwLfo682atqvEUrvv8sVZX9Z 81f9W6x/6Tpv+yTFWFaCn6T1eSPX4oQ02sXRW3NlPOty8EE0aI9437grCgdUBjU0QGlW3VRPlaVo fMWm21i4l05dNjt7aUWc9mZbSFWo7mVqTegfQVWRQB6zeOKvQcVYl+Wn/HJ1f/tva1/3Up8VZbiq ld24uLWa3LcRNG0ZbrTkCK/jiryTyr/zjtY+X9T0e+TWpLgaLF6FpA1uqoiy6fNaXXCkh4/WLi5N y3XcBf8AKxVkOoflbcz+VfKuiWmtGzufK1o1pDqAt+ZkLaXLpnqiP1F4MPW9QfEdxT3xVhNv+THl y10r9DW2s6z+ixeQ3KpLpM/q+g1t9Tv7QtDbwRmO7t1Rf7uqleXxHFWW67pOialdebYfrd7by+b9 ETSUh/RV6xgS2S5iadR6a+oP9yC/D8NKdd9lVPzhJreu6Ff+UIbARaFqFn9QbWXj1T63GrxBGkFi NOMbFW6L9ZFR3GKpTp3/ADjzaWHmO08zafrkiajpxmOjetahkt4GaEW1s0fqRloYLeOSLj8JPqFg VIxVN2/KfX4/MVx5ltfMVvHrmqQXVtrM8mntJE6XQtI1+qxC6T0DDFYRqvqNLXctXFWfaHpFro2i 6fo9ny+qabbQ2lvzNW9OCMRpyPc8VxVG4qlVz/ylWnf8wN9/yetMVTXFWEfm15i1DQtAt7uz1P8A RQe4ZJZ0SBppKW8rxwxSXg+qRs8qLVpiAVqq/vGUYqyLyne6hfeVdGvdRp+kLqxtprzijRr60kKt JSNwroORPwsAR3xVJ/Pf/HW8lf8AbeH/AHTb3FWW4qxLzPpXlv66JZ9UudLvp2Fw0NhJSeZkT0hK IgksnJUPAvGASvwsSKDFUf5T0vQLa29fS7s6i6xx2j3kkiyyLHAP3cB4BVj9MN9gKN92+Ik4qn2K sS/LT/jk6v8A9t7Wv+6lPirLcVdirsVdirsVSjVItTTWbK+s7UXUcVvcwSr6gjYNM8DIRyG4/ctX FV36R17/AKtH/TxH/TFXfpHXv+rR/wBPEf8ATFXfpHXv+rR/08R/0xV36R17/q0f9PEf9MVd+kde /wCrR/08R/0xVK7jUNc/xPp5/RPxCyvQF+sR7j1bWprTtiqafpHXv+rR/wBPEf8ATFXfpHXv+rR/ 08R/0xV36R17/q0f9PEf9MVYp53v9abVfJxfS+BXXAUHrxnk36OvBx6bbb4qyv8ASOvf9Wj/AKeI /wCmKsL0EfpfV5F16GJfU1e64W0llNMLgwQzRpG12xNsVgXmFUIPsV6kkqojytI9v5k0+0sWEunD T4reGVLSez9W1hR+MjGVik/o8IVV0UAesexFFXoOKsS/LT/jk6v/ANt7Wv8AupT4qy3FXYq7FXYq 7FXYq7FXYq7FXYq7FUquf+Uq07/mBvv+T1piqa4qwP8AOvznr3lTyJfX+gWs0+rPHKLe5jtpbmK0 EcTytcTCNJVVVCUUuOHIjl8PI4qzHSLtrzSbK7YMGuIIpSHRomq6BvijcKyHfdSARirHfPf/AB1v JX/beH/dNvcVZbirE/NGk+X/AK4kkuryaRe3D+usNvKEaeSNDF6ojH73ksbcWaIqStAxIAxVHeU9 M0K3s1m0y+OqKka2iXjypMyxQfCsAMYVF4dwBUndqnfFU+xViX5af8cnV/8Atva1/wB1KfFWW4qx S2/NX8vrnT9G1CLWojZeYDcDSZ2WVFl+pqzXBbmi+mIhG3IycRiqncfmz5Fg0q51WS8ufqVlwa8Z bC/eSGKVWeOeWJYDKkDrGxWZl9NqbNiquv5m+SfqUt5NqDWcMF2lhcLe21zaSxXEkIuFWWG4iilj X0W9QuyhQtSTQGirSfmd5LkACXkzXDFfTsRZ3n1x1dWZZY7T0frDxFUYiVUKUB3xVfqH5meRNPis pbrWIVj1GxudUsmQPJ6tnZxevPKvpq2yx/FTqaGgNDiqte+fvKNjfixu9RWC4P1UEukgjX6+JjbF 5ePpoJfqsgBZhuAOrKCqrt5x8uL5VfzW10V0CO3a8a9aKYf6OgJMqxlPUZSBVaL8QoVqCMVQM/5m +R4bia2OpiS5hitJjbwxTzSut+Ga1ESRI7SvKqFgkYZqbkUxVDN+bnkBbj6u2oyCRYhPct9TvOFq hleCt5J6PC1PqQupE5QgjFWYYq8j85eePzH0387PL2iaT5Y/SGgXNpIs2ocZPsTOhuH9YH0ovQMU ezjev+UuKvR/0jr3/Vo/6eI/6Yq79I69/wBWj/p4j/pirv0jr3/Vo/6eI/6YqxTzvf602q+Ti+l8 CuuAoPXjPJv0deDj0223xVlf6R17/q0f9PEf9MVYXoQ/S+syfpyGNDLq10v1aWymnFyLeGZI4Wuy fq3GAcuK8eqV+0xOKq/lQtbeZrGGyImsm0+K3FwlnPZie3hRuEzmVmWYwcIkV0oKTfKir0LFWJfl p/xydX/7b2tf91KfFWW4q8OtP+cWdHisbWwn12aW0tYjDFGtuiemJ7CWyvjDV34G5kkjnNeVGTvW oVZTP+U+sX9h5qt9V8yPcy+Z9OXTmKQziC3ZTL++jgnu7kLy9beONkTbYCuKonzb+T+k+ZvMl3qt 7ezRWd7pstjc6dEoCm5khltkvQ5JpJHbXMsdONDUV6UKqXSaRrkus2vmCbzFdSa7YxSWloW0C+Fi ttOB61bVWDmaRo0ZpPWAqoogX4cVYx5z/KjSPNV8j6p5o1w6kLOC1tWOkzMWtoEaK8aQG35s0/1y XkY2jVea1VuIxVN73yFa6vbX1tr2q3t1Bq6WNrrEdrot/aiax0z1Wt4EJ9Zo3aSflLICeVKKExVP NX8q+edV8mzeSZzYXGlXlh+j5PMck80F76bx8PVbTRatGZF7r9aUN1+CvEKpL5f/AOcfl0DW/wBP adr7HV7cwnTZp7b1Y4ltlubaKKWP1lMsa2F0tvQMh+AMGFaBVEyfkpqr6n5hvf8AEUQ/xjZiy80D 6geci8pVY2bfWeNv+4lEQ5pKfh5VLGuKvU440ijWNBREAVR1oAKDriqWXP8AylWnf8wN9/yetMVT XFWB/nD5g1rRNB0+bSdRj0qSe+WO5u5ZIoF9BbeaUoJ57TUYY3d41VOcXxH4QakYqy3y/eyX2g6b eyJNFJdWsEzx3Sqk6tJGrFZlRUUSCvxAKBXtiqQ+e/8AjreSv+28P+6be4qy3FWJ+ZNF8vx3gup9 Z/Qs11J65T6wsCSzRxmL1VBZHVxG3FjG68hTlWgxVG+U9K0W3s47rTr/APSyrEtrBfGVJgkMNFEM Rj+BVBXf9pj9osQMVT/FWJflp/xydX/7b2tf91KfFWW4q7FXYq7FXYqk+qfXodbsb6CxmvYY7a6g lEDQqytLJbslRNJFUERN0xVf+mdR/wCrDff8HY/9lOKu/TOo/wDVhvv+Dsf+ynFXfpnUf+rDff8A B2P/AGU4q79M6j/1Yb7/AIOx/wCynFXfpnUf+rDff8HY/wDZTiqV3Gsah/ifT2/Qd6CLK9AXnZVN ZbXf/emm1MVTT9M6j/1Yb7/g7H/spxV36Z1H/qw33/B2P/ZTirv0zqP/AFYb7/g7H/spxVinnfVr 9tV8nFtFvEKa4GUM9nVj+jrwcV43B33rvQYqyv8ATOo/9WG+/wCDsf8AspxVhWhRprOuzSaxCqNL qtypgu7GWVrlLaGZIoPrfI2oS3q1EUEckZvtMWxVEeU3az8x2VlZus1m1jFCZ47OezW4iijYLOTK zJMYRFEnNO0w7caKvQsVYl+Wn/HJ1f8A7b2tf91KfFWW4q7FXYq7FXYq7FXYq7FXYq7FXYqlVz/y lWnf8wN9/wAnrTFU1xVhX5pedtZ8r6bpv6FsRe6lql2bWL1FVo41jt5bmRmV5rRWPCAgD1V8d6cS qyby/qq6voGm6snHjqFrBdLw5FaTxrIOPMI1Pi7qD7YqkPnv/jreSv8AtvD/ALpt7irLcVYn5n0f RYLiO8l1mPRXmm9f05pvSglnEZiMg4y20ok9NuJ9OUAj7QO2Ko3ypomk2lrFd2d8dUpAlpbXZkWW OO3h2EMHEkBar8RJZ2IHNm4iiqf4qxL8tP8Ajk6v/wBt7Wv+6lPirLcVdirsVdiqjPfWUE9vbz3E cVxdsyWkLuqvKyIZGWNSauVRSxA7CuKsen/NL8soJnhn83aLFNGxSSJ9RtFZWBoQymSoIxVM9C81 +V/MAmbQdYsdWFtxFwbG5huRGXrx5+kz8eXE0r4YqmmKuxV2KuxV5H5z/MXznpP52+XvL1h5Xm1H SLq0kWS+jDcmWd0MzxuSsK+h6Ccg579qqcVej/pnUf8Aqw33/B2P/ZTiqE1RotWtDZ6r5Un1CzYh mtrpdOmjLLuCUkuGWoxVFLq9+qhV0C+VVFFUPYgADsP9JxVivnfVr9tV8nFtFvEKa4GUM9nVj+jr wcV43B33rvQYqyv9M6j/ANWG+/4Ox/7KcVYVoLQ67rk0mrm3jlOqXMRsLq39aa4it45Vjt/WEjQc ICWPBVYc0ZvtEnFUR5VlSy8zWtjZXEN3byWUMMs1tBJAsyxJIFnk5PKjvEIUTmtKiUVqAtFXoWKs S/LT/jk6v/23ta/7qU+KstxV2KuxV2KsC/MVrfX/AC+qaHqMNn5h0+5ivtGu7iOYxR3ERofU4ozc Xid42oOjYqgdC0zy/oXmPy7JZ6jEdP0by/daOInWUXErvPZyetx4EEf6Oxc8q8mHWuKpr5Dn03y9 5dGmXd7HLML3UbrnEkxXhe3891GPiRTUJMA23WvXrirNUdXRXU1VgCD7HFW8VdirsVSq5/5SrTv+ YG+/5PWmKprirF/zI1zW9D8sS6lo09tHfRyRxQQXdtJdC5muHEMFvGsdxZlHknkQcyxAFfhxVkNg L4WNuL9omvxEn1toAywmbiPUMauWYJyrxBJNMVYz57/463kr/tvD/um3uKstxViXmbRbGCdb2LW4 9Dkmn9fjcFfQa4EZiaVFMkDiQxMVPF+J6lSd8VR/lXRbC0s7e5hvv0oVt0tba7BVokt4wF9ODiWo pZKsSzMx+0x4rRVPsVYl+Wn/ABydX/7b2tf91KfFWW4q7FXYq7FXYqk2qfX4dcsL23sJr2GO1uoZ fQaBSjSyW7JUTSQ1qIm6VxVU/TOo/wDVhvv+Dsf+ynFXfpnUf+rDff8AB2P/AGU4q79M6j/1Yb7/ AIOx/wCynFXfpnUf+rDff8HY/wDZTirv0zqP/Vhvv+Dsf+ynFUruNY1D/E+nt+g70EWV6AvOyqay 2u/+9NNqYqmn6Z1H/qw33/B2P/ZTiqjc3s10I1uvLV1OsMiTRCU6e4SWM8kkXlcmjKdwRuMVVv0z qP8A1Yb7/g7H/spxVinnfVr9tV8nFtFvEKa4GUM9nVj+jrwcV43B33rvQYqyv9M6j/1Yb7/g7H/s pxVhWhyx655gml1IR29w+pXMDRymT6xNDbxyrHbI8bNEqQnd1RypeNm6nFUR5Sm+qeZLeztJI7iC Sziiubi39QLcsiPxupOY4mRBAqs6t8XqryrxWir0LFWJflp/xydX/wC29rX/AHUp8VZbirsVdirs VdirsVdirsVdirsVdiqVXP8AylWnf8wN9/yetMVTXFWG/mh51v8AyrpFjLp0SzX2oXf1WJWgmvCq rbzXDutrbFZpqCDiQh+EHkdlOKp95W1eXWvLGkaxLGsMupWVveSQxuJERp4lkKq42YAtQHviqTee /wDjreSv+28P+6be4qy3FWJ+ZNFtLaX6+mtx6LG8/wBYcXJHoCf0mjaSL95AUd0YhxyKnrx5Etiq O8r6DaWVvb3Qvf0lIlslraXIp6UdsgUBIAC9FbgpdmdmYgVagUBVPsVYl+Wn/HJ1f/tva1/3Up8V ZbirsVdirsVdirsVdirsVdirsVdiryPzn+aet6L+dvl7yta+WrjULW7tJEe9iNGYXTozNECBHxg+ rDmWcdT0oCVXo/6Z1H/qw33/AAdj/wBlOKoPVfR1e1+qat5Tm1C05LJ9Xul06ePmv2W4SXDLUdji qKj1W9ijWKLy/epGgCoitYBVUCgAAudgMVYt531a/bVfJxbRbxCmuBlDPZ1Y/o68HFeNwd9670GK sr/TOo/9WG+/4Ox/7KcVYVo09vr3mOQ6mtrDerqVzCY7i6Avnt4YpAltDAikCNDRn4SlWdGf5Koj yjeR2nmG20yye0mgNpFFezWNwbhbmVUfjdSrwQRyqLbi55MW9RAxPFcVehYqxL8tP+OTq/8A23ta /wC6lPirLcVdirsVdirsVdirsVdirsVdirsVSq5/5SrTv+YG+/5PWmKprirDvzN846l5X02wnsI4 y15dNBLPJBNeekiWs1xVbW2ZJ5Sxg4ngfgBLt8KnFU/8savJrPlrSdYlgNrLqVlb3b2rbmJp4lkM Z6bqWpiqSee/+Ot5K/7bw/7pt7irLcVYr5h0H6u31+z1tNCj+sfWWa4SOWFbh0aNpIxI0YRpEkYM CSpPxBQ1WKqN8s+X0sILa4lvjqU0VrHaWtwAqQpboF2hRS9PU4KzsWJYgb0CgKp7irEvy0/45Or/ APbe1r/upT4qy3FXYq7FXYq7FXYq7FXYq7FXYq7FWF/mVql/pOmapqunSelqGn+XNburOXir8ZoU t5I24sGVqMoNCKYq87T80PPo8zRaDrt0NBs7a20+LzBriww+jbtMt/L9fgeZHjVLoQW0dZQyRMWW lRXFXpenS22u+ULV9Rsj5rtpZpODzWlvD6qQzOsM7QXMiR7qoIdacvtqqg0CqcDWNRAoNBvgB0HO x/7KcVYp531a/bVfJxbRbxCmuBlDPZ1Y/o68HFeNwd9670GKsr/TOo/9WG+/4Ox/7KcVYVpFzF5h 8xFtRMNnew6jcwokl8YtQMEMUgW3t4oACqA0aThMQzIxNQAAqreTbiGw8w2+l2MlrLbNaRxXklld G5W4nCyEXcilFEco+rkSnkzOZE5E8QcVeiYqxL8tP+OTq/8A23ta/wC6lPirLcVdirsVdirsVdir sVdirsVdirsVSq5/5SrTv+YG+/5PWmKprirGfzK8y6t5Y8jaz5g0q2t7u80y2kuVhupHjj4xqSSf TV2Yj+T4eXTkvXFUo8t/mFqeqedptFntYlsJW1WO0EYf6xCdGuobV3uCWKlLkz84qKvECnxVqFUw 89/8dbyV/wBt4f8AdNvcVZbirFta8sXCzi703WRo8ZuDdsksMc0aXDxvE8kXNkCGUSH1AeSk/EAG LMyqM8teXG02C2kur39I3NtapZ2s4QRRpbqF2RAz7yemrOxY8iBSgAAVT3FWJflp/wAcnV/+29rX /dSnxVluKuxV2KuxV2KuxV2KuxV2KuxV2KvI/OX5wPoP52+XvKC6Dc3q3lo8bXkR+L/S5EbnFFxP NYvqvxnkOp/l3Vej/p//ALVt9/yJ/wCbsVUbzU7O+tJrO90a6urS4Qxz281sskciMKMroxKsCOoO KqcF3pkF9cahBoVxFf3YQXd2loizSiMUQSSA8n4jpU7Yqx7zvrfPVfJx+oXi8NcDUaGhb/cdeCi7 7nfFWV/p/wD7Vt9/yJ/5uxVg+mSWnmjzBIdR9BJk1G4h9Ge8eK9FvDDIEhtrZFDRipV5SslWZWrU BQFUX5Nu49P1230a0e1lgFrFFd/Ubn6zHJccZCLl0KJ6Tn6swl3PIulTUbqvQsVYl+Wn/HJ1f/tv a1/3Up8VZbirsVdirsVdirsVdirsVdirsVdiqVXP/KVad/zA33/J60xVNcVYz+ZXmXVvLHkbWfMG lW1vd3mmW0lysN1I8cfGNSST6auzEfyfDy6cl64q6w8y6tN+YereWrm2t4rCz06z1CyuI5HeaQXM 1xE/qhlRUo1vQKvLbflvxVVQ89/8dbyV/wBt4f8AdNvcVZbirF9Z8tXyT/W9N1VdPgW4N40MqIyx zvG0TvE7VWMSCVi6sjAsajiSSVUV5c8sS6YLeW9vDfXdparYWrBBFHFbrxqFSrEvJ6aGRidyooFG 2Kp9irEvy0/45Or/APbe1r/upT4qy3FXYq7FXYq7FXYq7FXYq7FXYq7FWIef9butBtb7XLREkutK 8v6ze28coJjaS3FvKgcKVbiWXehGKsI0/wDOvzHqfmey8rR2Nrpur3MVnb3sl2sskVlfzJezS8gs kXrRyw2UZt1VlLeoCW7Yq9CsdVi1fyuG1S3ttaiuXuLO7TT0NzaTCKV4X+CYD4W4UZTyANV5MPiK qJXU7Nbx71dGuheSRrDJci2UStFGzMkbPXkVVpGIFaAk+OKsa8763z1XycfqF4vDXA1GhoW/3HXg ou+53xVlf6f/AO1bff8AIn/m7FWDadJZeb9ekGpi39S21G4iNvPdtHeLBDDII4oLZFBj3ZXlYSVY q1fhCqFUZ5Mu4tL1y38v2j2r28dtFFdJZXJuENxwlpOUKJ6LEWj+oBXkzqTvUlV6FirEvy0/45Or /wDbe1r/ALqU+KstxV2KuxV2KuxV2KuxV2KuxV2KuxVJdTtbW78wWlpdwpcWtxpuoRXFvKoeOSN5 LRXR0YFWVlNCD1xVEXvljy1fC4F7pNldC7jjguhNbxSerFCSY45OSnkiF24qdhU0xVLfOnmKDyR5 Jv8AWbTSmu7XSLdpF0+0MMCrHGK9XKKqL34hjTop6YqhdJ8+XF953uvLEumeh6Ec8yTrOssqpbyp Er3UCoPq63Pqc7f42LqpJC4q357/AOOt5K/7bw/7pt7irLcVYzq/lnVjcLPo98LdFuDeLauFHGd0 eORopWSdUWQSszKYmqx5ArvVVEeXPLc+mx2r3939dubO1WxtCq+mkUChA2wPxSSGNS77A0HFVFaq p9irEvy0/wCOTq//AG3ta/7qU+KstxV2KuxV2KuxV2KuxV2KuxV2KuxV5N5x/OXTvL/5z6D5Rn0q 7nku7VojeRKWAN7JGVZIlVnkVPq3xcfH2xV6J/ibTv8AfN9/3D77/qjiqC1q98ua3pN3pGp2d9ca dfxPBdwGx1BOccgoy8kiVhUdwcVQGkWPk/R9XvtW0+31iK71GSSe8Rk1qW3eWZgzuLWQPbq3wihW MUGwoNsVQHnfzDYPqvk4rFeAR64GblY3imn6OvB8IaIcjv0G+Ksr/wATad/vm+/7h99/1RxVg1od P8467JHqQtpUh1GeJrS5uZIbpbeGFxGlvZ+mrxklkeVi4ckMD8IC4qi/Jd0NM12DQreW1e3S0iiu 4LK5+sxC54ykThCkfoFvq0nqruGZkOzcuSr0PFWJflp/xydX/wC29rX/AHUp8VZbirsVdirsVdir sVdirsVdirsVdiqT3cEDeb9MmaNTKlhfBJCAWUGW0rQ9RiqcYq7FXYqxLz3/AMdbyV/23h/3Tb3F WW4qxjUvLGqrdCfSbz04frLXqWjFY/SuJI3jlaKVorlQknqszI0R+Mlgw6YqifLnly509LWS/uRc 3FlarY2aqKJFCoXlU0HqSSGNeb8VGw4ou9VU+xViX5af8cnV/wDtva1/3Up8VZbirsVdirsVdirs VdirsVdirsVdiqVXP/KVad/zA33/ACetMVTXFXYq7FWIef5I49T8lvIwRF15asxAA/3HXvc4qyb9 J6b/AMtcP/Ixf64q79J6b/y1w/8AIxf64q79J6b/AMtcP/Ixf64q79J6b/y1w/8AIxf64qxr8smV 9H1VlIZW13WirDcEHUZ6EHFWXYq7FXYq7FXYq7FXYq7FXYq7FXYqlVz/AMpVp3/MDff8nrTFU1xV 2KuxVBavoeiazbLa6xp9tqVsjiVILuGOeMSAFQ4WQMAwDEV98VSj/lWn5cf9Spo//cPtf+qeKu/5 Vp+XH/UqaP8A9w+1/wCqeKu/5Vp+XH/UqaP/ANw+1/6p4q7/AJVp+XH/AFKmj/8AcPtf+qeKp1pm laXpVmtlpdnBYWaElLa2jSGJSxqxCIFUVJqdsVRWKuxV2KuxV2KuxV2KuxVj/nHzYPL8NjHDBHc6 jqlw1tZQzzC1gBjgkuZZZ5ykvpRRQwOzMEY9qYq87m/5yT0eGHU5W0sPFYaa17Dcw3kU9rPdpJcp 9TW4hWSMGRbJ5In35KD8KsKFVkWjfmHqmuXVhb3Fl+iLHWb7UdP0jULS6S5naXSpJ1kFxDParHEJ UtJGXi0nShIxVNLiONNSWeTV9bNzarLbqyacHTjIyF6MlgVaphWjA/LFWSafaXFujetfT3vOhU3C wqV+Qhih6/5WKorFXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYql2u+XdI122it9ShaRYJVnt 5YpZbeaKVQVEkU0DRyxtxYiqMDQkdDiqRP8AlL+Xkml6jpcujpNY6siJqEcss8hl9O4mukYu8jOH E91K/NSGq3XYUVRmjfl/5U0bUTqNhayrc87iWITXV1cRQvdyGW4a3hnlkigMrsS/pKtcVTt7aZru OcXUqRICGtVEXpOTXdiUMlRX9lxiqvirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdi rsVdirsVeHah53/NNLSAtb65F9U0u3XUJbHRRczvrSG4WaOOGWKNJYH/AHbu8bKnFeKSIz7qqkn5 o/nPVynlcokc86Nz0nUWLRxxcv3QSVi/ptSjsEE4JEYV1oyrK7f8zNQj0LRxLYx3/mq8dF1PRLcT wXFpG6O4lmtPTurmHpGvxjgGcVk4fHiq/UfP2t2mgTLNFa23mttRe3tdJ4y3EpsF1Jrb65HZq0V1 cqtojT/uwOfE8aDFUP5c8+ebRo95eeaobHTtTlgiGhaJKj6fcXF4bSOeaBGuZ5fWVZrhLcMqr8at tSmKsYn/ADS/OCN7j0/L5uLaK2VoblNC1dZJZjMAX+rSSpxQKfT9P1efL95tH0VTKw/MT83JLK+n vPLCp9Wvrm3SVLO/+KCKzuponit2/fzKZYYV9TjHyL8FStGxVnvkTWNa1jytZ6jrVsbPUZzMZLZ7 aaydUWZ1i9S3naV439ILzHqOvKvFmWhxVP8AFX//2Q== xmp.did:E96B3FE0E9BEE111B24EAA1EEECF6682 xmp.iid:E96B3FE0E9BEE111B24EAA1EEECF6682 uuid:D9098E846DDDDF11A669AA5AACADF97C proof:pdf xmp.iid:CD256E1165BEE1118C47EEACA5DFFF40 xmp.did:CD256E1165BEE1118C47EEACA5DFFF40 uuid:D9098E846DDDDF11A669AA5AACADF97C proof:pdf saved xmp.iid:BA2AA763FA86E011A2FF8F158748F4E1 2011-05-25T13:28:36-07:00 Adobe Illustrator CS5 / saved xmp.iid:022C86193A37E111B322E735D87BBC1E 2012-01-04T17:19:17-08:00 Adobe Illustrator CS5 / converted from application/postscript to application/vnd.adobe.illustrator saved xmp.iid:65260F2DE63BE111B456AA8A1489FC3D 2012-01-10T22:24:14-08:00 Adobe Illustrator CS5 / converted from application/postscript to application/vnd.adobe.illustrator saved xmp.iid:CD256E1165BEE1118C47EEACA5DFFF40 2012-06-24T18:28:29-07:00 Adobe Illustrator CS5 / converted from application/postscript to application/vnd.adobe.illustrator saved xmp.iid:E96B3FE0E9BEE111B24EAA1EEECF6682 2012-06-25T12:50:50-07:00 Adobe Illustrator CS5 / converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator Basic RGB 1 False False 6.833333 3.249993 Inches Helvetica Helvetica Medium Type 1 003.001 False HV______.PFB; HV______.PFM Cyan Magenta Yellow Black Default Swatch Group 0 K=100 GRAY PROCESS 255 K=90 GRAY PROCESS 229 K=80 GRAY PROCESS 203 K=70 GRAY PROCESS 178 K=60 GRAY PROCESS 152 K=50 GRAY PROCESS 127 K=40 GRAY PROCESS 101 K=30 GRAY PROCESS 76 K=20 GRAY PROCESS 50 K=10 GRAY PROCESS 25 K=5 GRAY PROCESS 12 White RGB PROCESS 255 255 255 Adobe PDF library 9.90 endstream endobj 258 0 obj << /Annots [259 0 R 260 0 R 261 0 R 262 0 R 267 0 R] /Contents [269 0 R 265 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 1417 0 R /Resources 263 0 R /Rotate 0 /Type /Page >> endobj 259 0 obj << /A << /S /URI /URI (http://www.xilinx.com) >> /Border [0 0 0] /Rect [275.58 26.4 336.42 37.56] /Subtype /Link /Type /Annot >> endobj 260 0 obj << /Border [0 0 0] /Dest (G3.451231) /Rect [349.44 393.54 388.74 405.54] /Subtype /Link /Type /Annot >> endobj 261 0 obj << /Border [0 0 0] /Dest (G3.469579) /Rect [249.84 688.08 294.24 700.08] /Subtype /Link /Type /Annot >> endobj 262 0 obj << /Border [0 0 0] /Dest (G3.446181) /Rect [451.02 676.08 495.42 688.08] /Subtype /Link /Type /Annot >> endobj 263 0 obj << /ColorSpace << /Cs8 1435 0 R >> /ExtGState << /GS1 1436 0 R >> /Font << /F1 1437 0 R /F10 804 0 R /F3 769 0 R /F4 772 0 R /F8 800 0 R /F9 797 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC22 792 0 R /MC23 264 0 R >> /XObject << /Iabc1822 266 0 R /Im3 268 0 R >> >> endobj 264 0 obj << /Metadata 270 0 R >> endobj 265 0 obj << /Length 18 >> stream q /Iabc1822 Do Q endstream endobj 266 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 108 14] /Resources << /ExtGState << /Gabc1823 780 0 R /Gabc1824 782 0 R >> /Font << /Fabc1825 791 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=.PkP=(E'ܱYZ)Yv0m11:}ٓ#,J[㫤̵R̃sV7Ө-~C25>S~Y2ȚC^B 0)xpĸ!ld)8` hT:VE9 ?^|v+Os(R.*x P?|۾{w endstream endobj 267 0 obj << /A << /S /URI /Type /Action /URI (http://www.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG932&Title=Kintex-7%20FPGA%20KC7\ 24%20GTX%20Transceiver%20Characterization%20Board&releaseVersion=2.2&docPage=16) >> /Border [0 0 0] /Rect [108 14 194 36] /Subtype /Link /Type /Annot >> endobj 268 0 obj << /BitsPerComponent 8 /ColorSpace /DeviceRGB /Filter /DCTDecode /Height 434 /Length 27163 /Subtype /Image /Type /XObject /Width 398 >> stream Adobed     $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;"?   3!1AQa"q2B#$Rb34rC%Scs5&DTdE£t6UeuF'Vfv7GWgw5!1AQaq"2B#R3$brCScs4%&5DTdEU6teuFVfv'7GWgw ?^긝bjr=:Yc?IP3u >ɜm5קU_?Wo=dv Ye~5\NOJ~_ B_U\X}k+ ae[#7lh}:ğ ٢hRSgv/YkVf!xc+}uV~Lzu4\'ڠwuG]KtuYI Z:$~uj: ) &q$Ξr: ήr: "R+QIzW_4MRFuDR}_3,;hUPI-?Y?w,NEmWg +2K]}otouuX8xeqP"RbWWV-w36^Ξj')$˨>uB_ܟV$eoЯ 'I~rWKsuBK$Y7/[/ 9A7W+k▊o5y\oV2/G:؏EE΃9:_EcEn"^r?WVz[Vg%ˏ6ԪeqfU:e2 gWcOQm܀9?sc;tFG_.r?3"?GVnzC%]cgE/?GVot ܺ'Y?+C#U)"#s*Ll0(蟬_gE7#?)]}&}P}DLB0M@;)'Tq ȧ=cgEQcmv5s q{(*wD}`#?*CWOA9 HwHu?*7Wt۟lOktw12pOQe%{Zw+زw_,S Ԯ)[W]ޝuz5MR?I( )hԸ^US__EhikȩԢvW>#$hhh~?)~bEBC2 ֲgcXg G=טiY֮`?K yϡ? Bi/- .h[y0bSi}-lֿ혴ie-;FE2L_wYğb#GW#ӑvwZXf7XYH>_[8bAu {֕R[6uV%wCյmIӻD'\P<_lx$ =Rm9oT:'\ R̯/'c\A:ZҺ')V?rIM::Om3e )+FWzޢֆn5hTU(r0' C I#'}k?{bɕ_,L*;Jڣ?fLʏЧ$wS_u0Ѐ$_k󩭆>z%#Q'>\m"`$"1-я~CmS ]KeY81\FR6ue.7:=F&}eմWwg $(.~S[9Wu`IR[Y]+=aIG$orK{jTM -)4ӦR$%+U3)BRQ nK!Ϝhܜii{,Wp]d`\MuO'M/\Bq:'UX>Ʋ@wWzgQ-ePF4@HX_Vzc4[;`$ ܢ7>m8$:p}3'mI 2 NtKq➴ L9OHtjIE'pPQp 4HQ_}upJH.HX:$xj?)* `hG-cF+<_]S3%ƛLii*d8VNȺ=^]dj?}!۟KuRgO{!#dOHО-,|BN&>* /?B8?BB#ORՕyC ?du/Քq{(?ŏ-_lX80V$]Po~Qǧ$$8shl7yZ6/QF^ 8HwVdž<~C\.GovY'9[}?>F[Mox@xh~dj|6PVH؊Y.WNe ;2nqYo-maR6;g_u5b0ӡ"ѻЉU*pE:H`\5e "E#y"R tC{%iӢf;~˻q%+A{xdcđy ϺԎ^Skny7pk?wx-nn#qɈjgZ75?n,2'иT>Ӝ]ԅ6O޴ԏGYYMvjpGG#}mQlXχ̗B Ŝ&5րk"|κۏ(I  B*-O@P2UFY[n͕2"g`?4w27M"V {-aAC-VW.S07nU/lw-0' 3CxR'6,E)tȳ.cO*'زrd Iovrq1Awxg-e`47IWss_e\AsϵpC "?*Y7\yWwUdƸy˗ݔg\D(}ɧ? <67'`[:v|&?*7ţի7O*/#5#C<4.L8tjG^0&B5IRr.mD:M3OR%#,?"i[\N Wqѣ4.ժRΝOx;Ig^n"z#zXi6AL|2ps\OMZ9sYtw6nzjF3NKy qtLx ֧,u$2:M*tKaݪr@?()eCuݣtĿm] <6TpmMN. A3Es%L8 A1KۘH]Շ(.#?WfߏMMq;v8X]*-c22"Hc Y;L@Qe}GC Bz8oh>aTuNxC`Cœy7b{XP\ӹ|{+u*L4GfV+;ӮV\6Un5tާY0n$54HuչYØH!Qcȴ#iaLH q  ~ ;}g~be&StppO K>$\O^ִy''Hu\㩔xzK'}Iy\.c檎UȟUG{f7T'\s G m8.ȷjorl~p?WQ?YݫJ#'#?76'}Jkϵ\tGfYs2E0ƂSE굴'(r3HLqYth\OgL~ΙOooO!\ d}Fca܋>^_+Mw5fͯܫJ(吃Bv_fB#_/`!m7OoM8;6r(:P{ɽzCly{?Sp vMkth$> ̾?܀F5;ժ?Нֺˏ?jj}}OtdZ8. S0{>t,eud4ݎca+aM$,XV%6r0,u@H'+-Զ45xHZi- }S6XGq,)k-y-r]v^=USplR1okP Ō,sAaNL*as%AaVi찀΃O4ީZ7vRӺ5Oʕ5 ]Y:WIѿȾ=KusPQ%!]pCzp at ‹ sϢI|ܐ:jGT]\~7ܻ6۸h{hLأzzU!͗Y Nkn~~ ْ:U/"ܧukШKo/F1BWYed?G&zOu/ɉfA?xV[&Fam ".әV*EZClo F]eWib?+^2#h?b}gնE,\kqCU@GhF˃pKՎ_g'n` e]G|z͗X϶⸞Iߠ~JԾd?we[qS'CC<'ŊdZֱz.#Ю_.o#7i-i lgmf_c{b*-xh9*h-~/^#0%/]OKͮ&V^Ռ%mc}CΥVBDCCTě&uw{JY,វȓ![՞ ū t^:OM3 4d^gŲ=z!Z 'ˢ,&i ͻX5q%."pͮi!eklp~0ah sK+:5t[?Z+tU+lpm`+-ՈGOgY!?VzIUfkrCf}<@?EVRCt>GQM﭅pD-f4{IV7@= \G"ny*ll;A\uziw[q%Z+m6shk8$J)ua&CF:;<e5m6k|< w} 3R|OQۈO"A\wv>k$Ұ uGv,D +N}[AY=O}[AIC\zb9'ӗIa2i}n_o=1c|P;K< @v YoPa[?O P@s\PQ BIz2PUeV5f'M9"xMʚɡ;Fٟ/9Odhֶkg2?">ᣀmwK_$>pdrC{?ONO4 j|jayVXx$DχbK _1ze4tr}WƩ$_ sLd7Ak,|Sc{Lu?'SIrKy (Cc~FP ѡȨ 2O.1sl:+9880;<U_Ihp5lp8:gU2@c\ r`]鲼gO*/)o4K+G엊=b9'C{dtmO.T:>z&{}: ܝc i<4"!GN~EW^,kK f LqOR49žwjO@/Z4>F^zs¯,-`YU"RA <ґcݓBmZ'Yrݢt.ꃪtI= ;v@v)źO/mnX`ve@"8BA'杣iZk:?XD;??C'g % BN0:yo>|+-k$nZ?oN7s‚Gjn,zbř[_[`*⽸FΨ%K@ZMc1;DypfU謹M5##u1 UZtN٘Dyq28RC*n*I@zK몺^$<ꨒCcd +n7:>pՓ8YC"?5ΊluO@cLI=~AǸw4dχvoWgL} \[gQh"vBIv?bVfWVhy1"7{V`b5W1*}[z5Lwm7NAXgIet C]mm!rydd)t -!`ֶ֮@AܤJ]O]G;|>(66[PǸVݏ:deW[d{ QK^*Ϭ5)>->:VmW)$qcѯKO][8Lu\I5V;?5Hn=p#DfOD|E.nPaauJN+4H/:reM-Ũhizc?4r^$-OYRgxB9j]_}>X !uq>)#[A[lX~_ŌJk'V$,麃u^8tƞ< W?´%Zjo7,|TQ^^RH`^@ pG <86Fklūsϗ~ꛠ "PvuxVaXh?)dQU'dX {FtZU9?͇e}{Ɛf`tf\}qwqX- ?5[Ƚ~M,5mѪvLGIHS9:rÁ)#x瀅AɾOn[,ht"|SlZNίNM5^ jG+F׺ e+A Bۜ"+B< Pƹ{5lV~Exl|k'_ 8OmtSTc̻s'@ >Z~cTZvkVcSx!aa$|Z$H煅fGSSDpV1>X"=??q>j_?TYDy&}6ƦB/Akڲ+'$o=n-QenߒuΣ@-mSϒle9P@p#/z}}@ {'$hhm=FfzU˜CcGy^c[كr}AqCrd->6j:wdL@}i[ ߌ 8:V9u6c4❯F3*f=Sx00rrЉHs9T\YXLMI;&}9> oŞFF;?G>i~2wyݑpkn{!Hd[IޕfX0>6[q2!7i|^(D (.f%`Ƈ)c?I5;Z|,qټ~Mi?.Tl׸Ix.{Փ*YTPM\)5Ia]<՗{^ܲ> ,ɛm`"|+rFK'OGoӞv`y*9P Y>jtqE45ήu@h'jؕ,|;kqE3P╦icELRO3c&fxDm5pYJʌ&WknÝQ+ΐbxRtu5xKD ARsZun8k2uS-DA%41 uRt:w'$Dflu.th!HV$ߏF^9F: :J䚬1gg5v$jY.ӟg"QG(cݐJh?Ur,pvdծG~Л[vcKDOW}]Yh_M|[SZ;+؝!m.ʶ $ /yטּC/[yK3*:rfs26$y༣V*ι#ˡ}+n#cp s(tMxںWuG-8xyUuk+.{\:fgK^ܧמ@2?څmfd6=:l!uJYۛ]c^gȚZNJѭoQ0kZO'Uu .}^#E;pf@~CB4Eud@Iz魺+6ԾUU-T#@>\'wT݅N'Kz <ĢEK4᪢S&@w Ρ~c_6{?UP:w -} Ϣ3>#Gd|J#WPq.Zil& 0 OliΫ6Y}#, liX#PN@ u]Okv@/u:>_,Z#(xi?|(Z^ɥŌt[[}`*V Ax.~EFH M~vF%1(< U>ɀ'3b4R 1'NJJe #` >iḙwL:aMa%1e= /Zj73tuMԟe]6̈́c:Aq ,q׷R$]t<"R[ O3O d$v(hh<:jѥ8 O_"C{¿]kP*n:acVcV^^kL_岶XIv~)og<9rVJMQ C=t :??WAvޮX9=gQ4:k}FZyCu ̶A x+f>K^׷k"^fMIkwE&ۤx"7`4{93%YX:t 2} (FN=lmmN%Y̬c`qPRֿHJ4OnE:X? YOU_fJ@QԮVVRVsQzbsv^'O,RY/sy$Wz-*U1n)ţaFߔm8b>Cni$O][)&ua8v+ֈ u$ȝ&zF5JXEm>y(m;zqI>7=D*^wkl0;cÔ \)U7AdӹDo.ic kN.=_@4l|sKh-_Ւ;B<ܼ|`;>cSynÚ|YJzOqRTJG՘&THJJcI{N<-Li؃ %P\\I* YoMیezVk]- ~[F4/] {> ң֝MPuͽYos [ݽht@Uƹff1.y%$*V{1[jfS$\ n*[qmΠSC W4k̂~)'v\QTztG2FN0kqp8+9\B1of>;MX@v]P Yō 'āDFZ찏5Fp,iu,¦aE>𬥩VoRPZ[K Z\eBΫA;A ݠ? oEj[Xv+}goP|2l:pVzViAݥV]֙iPvuicCkk` &zonp׿n5W>o(UeWY-Px> jXɉʛqZ=FL1@!Gfg:[^Slfyrnm"gjͪi5XI&@a^{h~ 17dѰڬ,x,kwC/>VH@|Ԧu+ǡV@FRJ&ƼLx!3~)%fxK1L $i'8n d4pIp? [kv"9#%3m{ȜmxVp+ow}Jq_7Res~~KPS3{+s5.A{ZNMĵG?%Y%{k'N< rԧh=$PX~uߚֵ7:zĜfyԚ},1o]oKs+P#qPdVCtSÑUu A$]aG+ ׷>t#tj?me#]Oxla1gǿ6Krƺjg}}>'a'턝i_oMf>nrk]oC\;~1>ܴ#淧7 vitc_.|hUttg<5ª54UsdQq;JB l)&Dm5+rsQ c{ӿdY0FA?:cCn5h-N!uυfӿ>"'-_~O=1c?iq-AYqرSWpk]@|=xH E$wIH^@S릿$Ƴ'BxSkZ{;"Dm d| +ѫD5Ĺ%1r,m4\tĔ>MM6Q }[xG b=[?޽_|%rshe5X>InnP9.~8?XYx'w? \6ִIq(KHKcں^Ǩ#IpgۇN.9+ +uszTqO]o#C}~kzIoj講#*oϪÅ!vP-miGG}&B.hse*O>ƚF=c6A}kѭ=A-nI)q1gL(vCML0]vfCیl&3r+1TK;jb&Y٫'_>=7 hn15$n]Tڮ{ǰi䡏v5#]-V,oIs^m @ŞGU4*}K5HO"ҍt׿O@YiUzJHzW]_AYAj7|(*#-O,f5YŌF~9gQx|tևZ@~*YԆsh ̡9PR[NƤ52F/ Tj ׄ5D 4Á(W^ :>V54הzh; srX<A{aKN>),t0me׵}Uw5יV.9ë)wyȩ1_f%[j|[J}7ΞrMm>-GI5ja&ct Y(lEa&@ &{*4P?h :*MYq"kσX ?zRu--$VO>#DLz:-杲ui*ˮ6Y]7f@$Utdu ,{\42`9N]oydG;ʛ'#-]b]iu,ݰny,ieLh`!ߝˡ.kʵe X5m{?tB.sIʓGor2"t*g1MfP$nj#|{ =V==;~)2N-C8^ILk??.^^[iI8i4N &}-e3 edA;pTԧBnmV>"/O@YKϯ?f -Z;B W]_AIC >1:,fl64XKk7lP|ح*uM@ e-쵺?M 6N=ޝ{t{/@{uyǚ"ʉ">QE-YQݸX1P32_c wԞf ġ@t]daMƷgׂ Zn0?O%ðʯkP %^׋$\{+}(mmg¬6u6U}WUS_[H`Rtul|uY'[maX-z7/hC^o*S'1:3gNKVeXcTZMU;C>05h[̵2 FYdk>k$ wU.ϱVv7rJvXf{o3c%btv~H oQϼUH{hq]`7s'a$oUX®YXilחOYf+і{l/.q& G*wfc@h-"dt=}Pא+,ׇY ZZ>!Se9ŶC;AI'hŪW1Wswx+Y&@ޙEUam6}&  :vc-y6_auU?NlݑWXۡDAZ(tEGR鬷)ƖЀ`}Rue5)<"(٫籿?f->/-?+1%y쵺w>%kt7|((~?/eߌ(/e;]:+_\e0 (-.cQU1d0d:vWiŻecѴk9׶"4W{OzbU_C!f>.{鷉6Pr $$4m_FE-æm.0ƺFϣϲXl2Gex{%[ݑflN׹xcjf>KbPů"Hk@vL桂*hi+ς8S>HZkK-on|R!fmZUSPl>e{vZt:,M]*0 !P/=,l~i]3^=i*X-l2h=O-,7nj[KO|YoEƽr=V4㳹hq(9]P['jΠ5Rx+y"[lŮ$5i ^Q:zk$JO4>oY]kC]8| h+A:ngM\3쒬_X/e^C2 XD}ʃ!ȏᯒv2b!" B$[R>tƞQe?Ji |_@c;༣S.W.۱5u=YCTR1YFx NZ'Tű-0LnԨ=՜^bw :"3:OD=ޣEߥiqzOd'8iрW*K%NTm6p??4$ՋN+&{V?H~;/n^S=f_u=]W ˮ&QǬchi cioxR]N_FWi¿*˭sfCHW:k8{xq 00-N^$V%npkȬYp;wZştVp1w(h3vX+lǵqjl"{)CBf9CL~c3*9MsA  V[ې繵 ƒxURͯjMaa#}\bdV-#ϊ]/*O,CuiT }mĽHŪ>:Nz²tZ8z’k}oE[LXߕloE[LX.;G]@:WzbJTzl{vG3(D}*B d&-I4ʌ12&AAPvnPo$GH <y4z.:I50W[\\\Zՠ]ԚgPµde|b+A.weɡ㠶ѓ[,]hhOrcfv<׶E7ӹ~넅gdjf߽\@x |&Z{oу;Zoh:{~KOΌLŃ_HK'"xq޳N~U2= iatTH ;N5ci^>taYpoF%PL{+FWSM'SC c'.22=]{;;xXĿG%xG|#눀j'5qU2~;h86yc^37).8k؁Up|V΋6C%uɭͭć/Xw>EeEjsD :1wrs2,y;]:WFn/8pzJ2T}z"/ L|{#qM5?'TUы/zmx{ 9Z6 #5H?χ)͎R<>'wJyO}VX&\F `!~gt{O?KG|_W%Bm @=vrv[\wo^>tDqo>8${';jEx9ZE"}7lറ~]5Վ{?ԽU4 }_B?C X!FG|\}'Hl~*V8݀OմjEv~ݾ$#;GM^IwT s ED# $)IJ&NCֵQj7Z]c`_YNz²VNzŠ>/P2 ;:u,-($q`I F`O$o$e= {էٕqԖ@=y;Y]vj6m ws@&_TG <* Q~0 ':+5b6M4%6Oh@p>.xj>nf#ldlpaFvI"0M=%| ,XezeIgs+s*б*gA2{ʿKF?V;QIRe_G˫¨n&*힓r8$:IsT߸ruiIKQԧ`#u,uk+1i}qqfB**Z;@ ӲXoR@Qe2zbI're׿;g_. j 'A Gde+M):@uH@ }0rI3&"4;T:9)}T-u}/Kצdw j@m QĻ[FyS+}Gp&NP Eipvw٪X쵭zf#Mae"cو̇ 311N%)UzIh VDDO:I"5M<J$ A$NBiI 6NӢIS%qN"GO ܳVQziwYY(SWoVSk-IAP:zbIr˺H?4Y=c+ӯ$twH1qߕ>H, &5E6P/Ĵ6RsȗsZ\Cߦ:x$9_P`;U?P_ +*[wiM h"Z}%:C7('>fXh9 1iwpgp~Ngp|ewُ`U>+w=X*&xA 5mbxh^s^iwXKȹq?mơXbu3[cOo:$mL3#Zthy:Jвt$~x&)%II$TKvHM쒘)WCxemTHO蠴d=jis>)<1%tc ;W˪%|v!e`߈ AfIqqOĭ<-w1m6qF]AH~u$I$ CpۏmS9 ^IK?$.!))$H^ p$Ja )JSE8,ӇK4X߼I+ѝm8꯳Z[E[LXc($ϛ:Ͻ_,A5'A?"ٙe-qpaI=#)c!j}^e뀰W4?~mOi_ ԆCH>*7Ok 8519JD#l;}哿z|cur҉Zbbaޗ~a$WB~ VغO$:XYl 4c'B$:X[lJ/DZe3>c2Kli*Vz?o]li*Ă/A_e:XU9<k2,sD!ku/AX`jdt عc;Oࡳa;B-wNOQZIYdEP92F/Ag]l_e?:XԬTi ^s?4e_:c3IT|#/C_d}?$N`) Hbt8gI`E9֐``CI*Z_c(w]މ]誜J;-?nKz_ad?헤ĵps.÷Ԩ9=oZjy# 3='RԾҿɿg2( ΫJכfXCۜl8gĩ}vL]7,]rty9#~)Wmg?9%`$`hHZ=BVbpu%h {IZN.Z?B ŠS( -,dw>k`[H4&"tK]RJRIt$|=ʝ$iNR엚I)f?) ԤDH$JR$TO*'AK̔4I$ >i${3hHAp;RRR$6vӺJ]) t|9I tA 2tI2}QR< ~ %(e䔦NJ\$J_jt ?|+(jaoυI|LI/RIOI/RIOI/RIOI/RIOI/RIOI/RIOI/RIOI/RIOI/RIOI/RIOI/RIOI/RIOI/RIOI/RIOI/RIOI/RIOI/RIOI/RIOI/RIOI/RIOI/RIOI/RIOI/RIOI/RIO endstream endobj 269 0 obj << /Filter /FlateDecode /Length 2830 >> stream xY. ?]2sEUI(Vl !w%ݝE ˉ\3o fF]<~nf6aP)$SںگfW3Az dӠg+tT2l,P9F{[gO2j~5ːիN=p̗ogoX2.2oYb޶סѿ7musO)*L~Oct!PNthx'6֫gU ͼMQfߢ(֢s,j..c;RQ/~a۪ǻž5^kGI֎ҬCÛ"ϲV}<~^ V/+[[Əݍ90Z!"dHh*"%Lj0pkaU~JHubf0K>Cd޳Z* 0+-WOWiqm>K@=<,; fz]!k5 ppQliwj S;3bvhdkF{ ^H6uw]<-?\u%|0zYewм]\mWn^C͠7(c,BZܾ2 _NV-ezfsYY)7\ƞѺY#Wm;s{W gFCD*wt"ȑb,|t:"O‘0b"{+pG Fd"\`Y~Gu"̝: 2"jݝA9 {C<EdT+qyQslxM5&І$Օ%Opgݞ6I<g CS  l2`),[iN)6 =Y9>Mk ;p?鷆߄9 ~(MM8crs2_شha6Xï8$^q A'Cβ}pUAK٢I6FpfK`s]R(aahw" :Dg"x+cSp"z=0֔IڛY!"KpԖ207=P[1Dq5emHGA&A۰a;mpM Ssb핉`-@tQvTHK2=xmqKT,z^z7A:$/M.Y:CGa,Nt|+PmCN8L^0,,G'v%),PeV8Ey&\`-D;(lC'eJZs "eI:žL-V n\ ʊ{*7V)xՊ(6`ť921HQWpY2 z\#;9YZf@j^;sa2eK:J*Kɐ2D H dI # pXiC&Ӕ%?w0Leس+AO6U sEjZF,`- 0 M:Ơ\Q%%K唧]ĶDKc(+ zURQ?P}kj1{4B%Yn*SR up{=K9".qr2q:<\X}?L#5AQHEÃZVC壾\-7[Q"8T-:LbywݮP{k* Ec *ƨCgQ؈`~ͧU=1%o^` EpW |=Cu0lD 7R:w8W-%V s0!|yH#/j-Ҥj-.MYdU;AJpvݦTB`5#VSV Zy]sfm r(55b*Ey@{[V{`u @COy +͇ΡJu,Fe#2++ I__O&>[nD PRrW~ #_6iٞi}?]y$0c>q>1+2P&s3{q$0N@DUN68PAQk#We)2COO%E~:yfg-pXg$BQCY$7 ɉ' kQ^QowT,Ɨcn}B8 CTɥ㺾"BNc⧥~BeFGT#{G{nو.?qo  {!Iσ5N= ff endstream endobj 270 0 obj << /Length 42839 /Subtype /XML /Type /Metadata >> stream application/postscript For use with FigureWide tables used in Xilinx FrameMaker document templates. ug810_cX_NN Adobe Illustrator CS5 2012-06-25T13:09:01-07:00 2012-06-25T13:09:01-07:00 2012-06-25T13:09:01-07:00 228 256 JPEG /9j/4AAQSkZJRgABAgEASABIAAD/7QAsUGhvdG9zaG9wIDMuMAA4QklNA+0AAAAAABAASAAAAAEA AQBIAAAAAQAB/+4ADkFkb2JlAGTAAAAAAf/bAIQABgQEBAUEBgUFBgkGBQYJCwgGBggLDAoKCwoK DBAMDAwMDAwQDA4PEA8ODBMTFBQTExwbGxscHx8fHx8fHx8fHwEHBwcNDA0YEBAYGhURFRofHx8f Hx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8f/8AAEQgBAADkAwER AAIRAQMRAf/EAaIAAAAHAQEBAQEAAAAAAAAAAAQFAwIGAQAHCAkKCwEAAgIDAQEBAQEAAAAAAAAA AQACAwQFBgcICQoLEAACAQMDAgQCBgcDBAIGAnMBAgMRBAAFIRIxQVEGE2EicYEUMpGhBxWxQiPB UtHhMxZi8CRygvElQzRTkqKyY3PCNUQnk6OzNhdUZHTD0uIIJoMJChgZhJRFRqS0VtNVKBry4/PE 1OT0ZXWFlaW1xdXl9WZ2hpamtsbW5vY3R1dnd4eXp7fH1+f3OEhYaHiImKi4yNjo+Ck5SVlpeYmZ qbnJ2en5KjpKWmp6ipqqusra6voRAAICAQIDBQUEBQYECAMDbQEAAhEDBCESMUEFURNhIgZxgZEy obHwFMHR4SNCFVJicvEzJDRDghaSUyWiY7LCB3PSNeJEgxdUkwgJChgZJjZFGidkdFU38qOzwygp 0+PzhJSktMTU5PRldYWVpbXF1eX1RlZmdoaWprbG1ub2R1dnd4eXp7fH1+f3OEhYaHiImKi4yNjo +DlJWWl5iZmpucnZ6fkqOkpaanqKmqq6ytrq+v/aAAwDAQACEQMRAD8AlvmPzh5ltda1yyh1C4WI 3syxN60waJUmaixUcBRQU6dMgebhzlKylEnnnzY1mlr+krleDFvrAnnErVrszepSm/hg62xEpUpR ea/NpO+tX/y+szf81YbZcUu9GT+dfNUsMMf6RuY/RFDJHcThnrT7ZLmpyQCDKTrrzp5puZml/Sd1 Bzp+6huJlQUFNgXY4gUGXESpDzZ5pr/x2L7/AKSZf+asDOy6Tzb5oHTWL7/pIl/5qwWmypf4v81f 9Xm+/wCkmb/mrG0ElGv5+8zPepci7mjVKVtkuLkxGniGlY/jiBtVsTI3anJ5u8zyOzDVbyMMSQi3 M3Fa9hVyaD3OWI4ivtvOXmeCYSNqN1cqAQYpbm447ilfgkQ/jgItImQuh85+ZkhlibULqQyUpM1z PySn8tHA3+WEjdiJSU/8VeZ+v6Xvf+kmX/mrEsxItjzV5n/6u97/ANJEv/NWRZcRbPmrzR/1dr3/ AKSJf+asU2XDzT5n76ve/wDSRL/zVhQSVSDzl5lhEqtf3M/qrxR5Lm4rGf5l4yLv88NMDMqkXnTz Gbb6ub25EnMt9Z+sz8yP5d340+Qx4d7QJyqlp80eZu2rXn/SRL/zVklMj3q7+cPMclrFEL24iaMH lMtxOXep6tVyPuxjHe2JySpUn83eYJ35pfXFuKU9OK4npt/ru5/HERoMuMlYPMvmOn/HVvK/8xEv /NWLKy2PMvmM/wDS1u/+kiX/AJqxUk96Ks/N3mGBJ0a8mn9ZaCSWectHSu6cZFAO/cdvngMUCZV5 LvzoiTSNe3gS35+sfrL0X03CN+32ZgNsBZjiQX+IfMPAv+l7oEMAE+sTcjWpqN+NBTx74FsuXzH5 hr/x1bw/895f+asK2VZPMWvjrqd3/wAj5P8AmrFHEU//AExrH+CfrH124+s/pL0/W9V+fD6vy48q 1pXemFlZp5z5uc/4r1kD/luuf+TzZSebCQ3QVjYSXkrojogjRpZJHJCqqDc7An7hgURV00+3Bp+k LY/8jf8Aqnkk8Kp9Qh7X1ufA1f8AimEIMWv0dH/y223/AAZH61wqA79Hr/y123ufVA/XgZAOGmcn 4/WLdydkVZk69u4wFlSh+jX5cfrNrsaH9/F+PxYKQQmd15T1eC/isZ3gW7kA4RGeEHc0/n8QcQRV oMDdKNzo1zBM8MstsskRKOv1iAUZTQj7Y3yxjwqtl5ev7ycW9s9vJKwJVFubck0FT/uyuJICiNr4 PLWoTRSSxyWrRxU9RvrVtQVFR/uz2xJURUjo13X++tPDa8tf+quLIRXDRrv/AH7af9Jlp/1VwJ4V 36EvD/u20J3J/wBMtO25/wB24VpoaPdEVEtoR4i8tD/zNwhBCva+WNUuRK8JtpBCpeUrd2p4qOpN JdhjYDHhJVV8rar9V+t/6MLfkVEv1q248h1FfUphvel4DVqH6Hugd5rT/pMtf+qmFHCipPLepw28 VzKbZYZt43N1b0YVpsfU9sAkEGB5qt15b1Kzk9O5a3iloGKNcwA0PzfEStlwEc1D9GTA/wB9bfIX MH/NeLLha/R8g/3db/8AI+I/8bYoIVRYN/v+3G3+/o/+asUUnU+sSSx3KutoPrMTRuRNX95I4kkl 6ndmUbdB4YGziSMWa9frEH/B/wBmLGl01jJDDFOGSSGZnRHQ1+KMLyHjtzGK0tAoR9+FiyLf/ANe /wClf+xfFl/CwLzcf+dr1r/mPuh/yWbKig80Po8vH69vv9UlH4DAoQEc9R79/pwoJZn5W8s29xar qGor6sEqn0LZSwJ3pyYrxPbYA5jZs5B4YsowvzRa2/lDU55dPtoxDdx1HqRMWKFT3WtDlUc0xvds p4jH6o1bFNUs59PvZbWanqQmlV6EEVBHzBrmdGQkLDVyNIL1OQFe/Y4sw0wU/LCEELvVbmJC7FxQ h677dDXJbMCGxNXvXDa8LYkZWDIxVtwCDQ0IoRt7YrTaTSopVXYK9OagkBqbio70wopcJPpPjkS2 BE3eraXonl241e8j+sXLyC2063/ZMpXkzvuKhRvTvmNlMieEbOXp8cTZkk/lnzGfMFnfSTQrb3Nj wZ2jqEkSU8R8IPFWUjt1r7ZGJlGQBNgtmfHEx4gKpMUYCtOh6/PMuLgEKiSSJy4OVDji4BpUVrQ+ 1cm1kKiTTiP0hI3pcufp1PHlSnKnSuKAHE4rSqJJGjWNnYxpXghJotdzQdq4QxIVTLI+7sWNAKkk nYUHXFkGwf7MDJtTI8qRRqWdzxUDqSegx5MSWfweV9E0iwabVeN3MKM8jyGCJCR9hTyXlvXc/cM1 89RInbYN8cR5AcRUp/LekapZG40dPq88YJaEu0iuaVoGYn6O2HHqN6JsKcZ5EcMmH+nwNK5nENUS mU+2gWH/ADE3X/EYMWxBV7k0GKGQcx/gCv8A2tqf9O2Kf4WCecSo82a0CaH69cmn/PZsqKDzQWkP V77aq/VJgf8AgcFpCUpLQ0rQ5IMCHqmi38Vx5ZgaJ/TY2ptPUG5jkUBamm4rx/HNfLaZtyITESJF 5z+XHkbzdp/m39I6qn1WONJKBpEkMpkBWgEbPQDrv/tSy5ImNBuyZARV7lO/OV7FPr8/p7iILE7D u6Cjfcfh+jMnTioBxJ80h9SmwOWJAaaUgV6jFBCKuJdIF7GIJLhrLb1XkRFl6/FxUOy9OnxYi681 NX5IW4ngEr+iXMXI+mXADEV2LAFgD9OFCrYzWhnUXrypb0PJoVV3BptRWZB198TfRduqtDNYmKUz NIs4p6CoqlD15cmJBHtQZI3YrkwFKZmqB0oooNqe+9OuEhmFZby2a1lsb+3S80+cq01rIStWT7LK 60dGHip9umUZMfFvyLdjyGPJDRvpVqrW+jWC6dZyMJJo1eSVpHUUBeSUs5A3ovQVNBucjGFGybLL JlMtuQVo3bY1265cGgoy3azYTGd3jIUmAIocFuwYkrQe9Ms3aiqI1l9TJLyfW+dPT4j0+HjyrWv0 Ym78kCq81Ou2FFopzZC1iMTSNcmvrq6qEBrtxIJJ+nEXfkiRFeatc/Ug4+qPI8dB8UqqjE032VnH 44i+rLa9lESDfFkjtB1K107XbK7ujSCOT4zStKgiv0VrkMoJgQGMZVIEo387/KHmjzDDpraNEbgW TT+tbiREqJeJWQc2VTTgVO9elM12HJEc3PxyqwU0/Jny5rHlvy7dHXCIizmb0w4kMUaICalajx2B yEqlPZOTIJV5JFcTK8rECgZiafT0zcOADujLuULoFj73N1T/AICDItqXLNypTrXc/wAMbYsk5f8A IPa7/wDHW+n/AHmwMv4WA+cWP+LdcFB/vfdD6PWbKTzUjdDaH/e3lR1tJ/8AiNcQkBKJYyXr0r3w qYozRtXv9HujPZsD6opNG1TG1AaclBG47HIzxiY3UWE3uvPeu3Fu8K+lbFqVmtw6ybHoGLtT6Mrj pYA3zSZFIeZpWuZBKBFZ6y1O+RtnTbS13HTBa0oGdq42im1kJ/jXthBRSJso1kuEiMixhuskh4oK Cu57YboMSFeRQkrIGEgUlea14kA0qtQDTLLYgODdtqnapIA39zgJZgIUzOz7Hr23rtkLS0rFHFdu +KCU5uYo7UQkXEM4miWWsL8wnKvwPsKOO4yUDbXLZWuLdIUhIuIpzLGkp9FufDmA3B/BlrQjJRlb GStNZJBa284uYJWnBJgjflJHT/fgp8JPbfESsqRQVjYKunwXv1qB2mJH1VHrMlCy1dKfD9mvXuMR Lek1taH5Kg5GlB1rhJRwqb3gBHEVH+dMiZshFT9eWhPDl3ruQK/y5HiZUskb1jV9ifs02GHia5RZ RofnfW9M0wxEw3VujrHElyS8ijj0RQytwAXvsO2UTwQkb5JjMgO1bzxqWqRxxTqkMK/7pgDKpPYt yZq0yeLFGHJZSJSlr74vh69stMkAJnezMfLmnEtUm6u+n+pb4LbEtjm6dhtX542xZdzP/Ku+o/46 9OXb/eWuFn/CxnzPbaaPM+uGRiWlvLgN9j4T65b4ag0O1K+GVHHk6RNe4vT4ey9GYRlPNESIBI4o 7eSDsINGieUo0rF4nR/iQ0Rlox+z2yPDMdD8mz+TND0zx/00VMaH5en+FNVELH/fgRz+DJkDKQ6M T2PhP0ZAfiCrjyBPKaWOoW04P89UP/C+pkfH8nHydjSj1+xLtS8learE1fT5ZYq7SwKZVI/2ANPp GTGUODPRSHKj7khnEwPpupRh1UggjJcVuPLDKPMU0jEKaj59sWHC0p2ofowoaMYI2GNMbdxK7da/ ThQSibGaa1uYrqIj1YWDpyAYAr0qDhIsUw5Kxkd2LSGrMaselSd8minGpGBkFBxx24kjr/nTAypT aQnooBwWxIVr3VL279L6wVPoRJCgRVQcIxRa8aVPvjHZhIWiptYvLuO3WbgBbQrBFwUJ8C1pWnU7 7nJR2RIErptWvZ7W2tpCvo2wIiooU0Zix5EbnrgGxtSEfFrd5Pp8FhJw9C2ZmjAVQ1WJJLNTkevf CALtO9U23xxdaU3JGElICFdWopP2egPc5WlwJpuSMbVcVdSK1BpWh8CKjG0EKqcRWo37eFcNo4XG hHv3xtabUUIP4YrSd3G/lnTq9ru729vTt8kqARaVbv8A5jFiyjb/AJVrSv8A0uKV9/qnhhZfw/Fh HnO58zr5u1wQ3qpENQuhGnJxRfWag2XsMyBrMoFCRoMTg09kmAJSy1n82zOyi9jPBGkPJ36IpJ/Z Phj+dy/ziyGn0/8AMC9fMPmKCnOWJx/qhv8AiQw/nsney/KYP5qMh87gApd2a1rXmsatX/kWyH78 gdUTzA+TkYoiH0ylH3SKe2nmDShFHc2nmOTTpD/ukQXAYV7EICv3HKZGEugHudpi7RnEVIjIP6e6 ar+Y8bxG1vNS0m8iU0Wa+t5oHani1XH4ZTLEDybI6vFd1If1ZbfIg/ehG1zyjdo5ex0Seil2Npdx xtTv1RTlfhEf2uQM2nlz4vjGJ/SEqtYfKssk3pRS2LXCNHD9b4TQfHSjRsOO/uCdsEuIN38lYswv FIE93X5Kdh5eutP1GQX6WLQG3doZ7yRltXNQB6bqPik3qF60r3pkpzsbW6LLoZ4T6qSjQoFbUYmk W3ZVV2ZbxzHCSFJ3I7+A8cnk5OBEbtaZGv6RtvUWMp6ilhMeEZANaMxrQZOZ2KxG65ykN/zaNJo4 5atEhb03VWrxUn4uJ6eOG7CK3buJknuXlEIgickiKOpVR2pU4ByZVuolWJ6YbZU00PMjt2+jBa8K k1pJ+yOvc1wMTFNtSs4oobJIxb8jbRtIbdzIeTCp9Wv2X3+Je3TGHVEo8lW8sok0nTyn1b1HST1T C5eevMkesvRNvsjwxidymQ2CKFnBH5fs3VLX12d/UaOQtcn4mp6idFFB91D3OMT6iitlTQ9FuNU1 OCxhZVlmais5IUUBJJoDsAMmkRV9T0aW1lWJiBJxV2AB25CvEggbjocgU8KWSWZQbg+1MitKRjoM LAtUoOm/bFC8DbfCq+M+OEMU3nkH+HbA+F3dj/knb4WSXu5BHientixZNyb/AJVn13/Tf/Yrh6J/ hYr5sukh8268GhSXlfXKqX5fD+/Y1HEj/P2rUkW0yNEoWzvY5ry5kSFLdGtpuMMZbiKREbcyzdu5 wVQZRLHbuccjTtkSG20PFBd3KSSwxPJHGVErIpYKZG4oDT+ZthkTQSJFE2NrIZrmJ7OW5kiiZuEZ KmIih9Rvheqgdf14D0NsgTvsoiQ0qB8yem+EhnEvVvyI02xu7vVZ7y1ScJFHFG0qh1AcsXUBqjfi ua7XZODhvk3xutmYav8Akv5TuDJPo3PRLyQMGaCksDlu0lvLyUqPBCnzyrFqSOXJtjmkC8+vB5j8 jTtFqcMVrbPMqWbzn1NOuyGPEJM3L6q9FqEnbcV+LbfNhKOQUNj3fqdzDtQZRw5vUOXENpD9b0LR /wAxNIvYWU6ei6jEAbm0dOEy7VHwFavt+0o+jKNR4kR6RxH7WqfZA+qE7h3j8be4uH5neVBcencQ QR0bi9V3Whoa/DWo+WY8cmbrjcOelhH+Pd0n5o+RlYj6uj+BCJuPpAyfHl/1M/Y1DTj+fF1h+ZHk u91C2sotPBkupUgRvSjoGkYKK7dKnAZ5ALMCPkssAAsTBZNaQaPLqtzbtZWyiBEP90gNXrXt7Zj6 XUGeU8X00jJjMcYlfO0z/RGjNQ/Urc06H0kP8M3A4a2cSyxe/wDOXkzT72aze0T1bd2jcLAKclND Si5iZMswaGMkd+zkww2LMwEK35keT1HwWYPzhoP+IHI+Pl6Yj9jYNNHrMOk/Mvy3ExX6h8Y6qE6E dj8GIz5j/k/uT+Vh/qgTPyx5t0vzDeyWkenCH04jLzcKQQGC0pxH82X4MkyanDhas+GMBcZ8TJ1s rJd1t4wemyL328MzQIuJZXtb27GrRIx6VKg5OgtvPvza00PFZXMMIrGJPXkUDZKxqvL2q1PpyBgL 2UnZ5mli03qNHEzrGAZGUEhR2r4dMlQcclfN5e1RahrKdDXjRo3FGIrTceGD0961LuQUWm38929p b28s1ylS8MaMzqFNG5KBUUPXE0N2FkmmprG7t1R54mhSQcoy6kBgQGFPmCMI35LuOaPuKjy5YU6m 7uyfl6dvhZoDiCevU7A4EMooP+VZU/7XXT3+q4WX8LB/Os3/ADt2uivTULrb29d8LRM7lAaTKGuZ V5BQ0E45kVArE25oCcBLKG6B1TTreBbhor1Lj0pESMKpHqBl5Mwr/Kdv49KwE76Nhgkh5AEbhT1H 9clbIQVoLiBfXNyZWLIfT9NgOUhYU9QsDVepPeuAk9GQxoGW/kX+GElnGD1v8hNfjtrbXJrl+Fvb ILiVqE0RFqxoNztmm7VBlwgd7nafH6Sk3mn82fNXmG8+pRTC1tS1BawFlC1JHF3FGkahoxrx8FGZ Wm0MMY33kyoDkx631qRZmSRo722BMV1wYstP92bqXV1C/wAv0ZmCAHRbSnUNSvvKupQWrcrzRgDP pstSJIUkNSEcV5KKKSp/CpyZxcY8w2afXZNLO4nY/ino3k/zn5G1Vrm68z6PBeUG97xLOUAPFiRx X51pTvTNdlwz6c3bazwc+IZYS4ZDnH9THfOX5neQrZTFpHlWwh5Bld5OUrqQdmV6iMGnbg498sx6 U/xF0RnXW3my+ftZuZjBpVhEZWNVEEJZzX/IWq/cuZYxgMfEJZHpl7+Yd00slxpNzaxUT0kSzcLT jRiCyM29Knf5UGV5RIfSG/DK/qQPmLzX5o0ySKOjR0UmRXhQd6AHklR44MXEfqRmlXJC2P5qamKC 4t4JIkHx05Ru3alalfuXL6DT4hZBa+dNM1i19KO//Q2oM3wGRRLHt4swVaH3K4aTxgoHW9V/MfQY 2nPp3en0rFqEcSMvEj4XZV+x9O3zxpBMgmHkLzTr+pW17qGsvG+kWgZVbgqt654k8eFPsp1qD9oY 0mEiUzfzJeXY9aAwWduSSjSNGu1K1keUhFr22Feg3weGCy42e/lr+a2qafNBb3MgvNIlolenGRgW AB3VSaHjx2NPHoOGuTCURJ6t+Y00T6M7KQwktHdHHQr9Ztf11GPMhxJci8jt0kdLhlmWJVUeojSB S4LAhVWvxbiv+Yy3bZwiFW/jvLaZ4jeiVuSyGWCb1FLgbMHU9R44iiOSCCDzSRorqGb1IpXU7jmp Ktv2qD3wEMeEuEl2QiyOzhAETmSeKjoFr0HtikBOLiP/AJ1uw5Gh+tXX/ELfBTelYanucDC2Ucj/ AMqv6bfpun0fVMWd+n4sT81zNb+b/MJjNDNfXaSVAIKmdttxkJAEp4dygUu5rzUZ7qchppIJ+TAA Da3YDYUHQYxFCmQikUxxbAAgJicW0BBSFu3XwxZUo3OmX4NGjoPXFtUHlSU/s0Xkx+gHK+MFsEWV +RJWttI8y26uHJSNHZTVWUXEStQ+BBzC1O+SHvcvAPSUn017aO6Wwu4Gkh/SEct+9v8A70vBH6it FCa/7sWTbbcgdxmzi0StDaTaNFrl3cWyfV9FWdpzauCrxRrJ9pwwFKIOJ36074Sd9kRCf6wmnfo3 TrfVWaOW0EbLEtBMSFCSR0IIpUdT4Hvk8czE2GOWMZCixyd7i5hVWC2tlDQLCq8QOwpWpbphEUXb FtbtY3jkEfUD4eRq1R8sBDEobydrD6bq0ABCxXEnpvtUggDifvbIrA0X0/5Z1L69psUrkMa8T9/v k7bSFbW9Esry1kWaMOSPtDwHywoeHeevJdva3EkqRUB35A5ExYyDzq6tZYCwTdV3PjtkWspr5b88 6zoLhLeT1LQms1nJ8UbBuv8Aqn3H49MbSJU9AtdT8uar5Wv4tGhEMkhN5eaeG4vzqhlKglhQhQBx FOmwO2JbQQVG7vVutDllETTG3t0g09UHNYVklLyfD25825FuhoNsJqkIvyhp2rW6WyTLRHZY7WX7 IpFKHZlag5cEXi3gSB3wbsgH0l5rIbyhZNyAP6LFFPU/v7LfIjm4Wbq86nGkyCRw5iKW8XpRqpPO YKokqT035H/OmWbuDLhUdSTT4rl0sJmntxTjK6FCfH4clGyN2JoHZZpi6c94I9SuHtrTix9VEMh5 AbLQePjTBK62ZRkL3b1EaSYrX6pLJO7RK1zyQrwkI3QVArT6fngF72pkNqVbla+XLEf8vV1/ybt8 SGy0mhDF6UqSaUrkKQyun/IMacTy/Tn2ff6phZfw/FifnCKR/N2vGNGcR3108hUEhV9dhU06CpyB bCd0DY28iXrxzq0JEM/MMtHAMDGvE07b4+5IKC1K20iM3H1S4lkRZFFqZE48o+JLM1K78tv89oji 6s9uiRTgCuGm2KEaJ3D8ELBVLPxFaKCNz7YsglkruJ1dJTG/MES1YcTX7VVqdvbIlsiyzymVr5ji hlMy8SUlchi6i6go7MKgk9a5g6j64f1nN049MvckjeZfKOpXbxy3DafewsY5GloitwNCQ26MK9OV D7ZsyHEGQFOL1rPQ9MF5dBrhEYrEjooiecKGQOF4FwKb8mOx2FcQGUjQecXPnXUZ9XfUJud3IteO 7BYxyLjjxpxCkkqFoBk3HMk+bWTq6G9UjnJUycVWMKSa8VRAqr1pRRQDYbZIFKS6o3pn4mAU9DkS yAY3MVM4kiqAhFT0ofEZBBD6O/J7WF1DTFLEBZN6Hf4wSD79slFtuw9KljJi5HoRxNf7cNoed+dr CGe2m5KC2+467e9MNpI2eH61ZiJ5qCiLXc4CGksVUAsK9dv4ZFij9O1W+0zURc2TmOWJiAAKqV7q w7gjqDim6ereWv8ADnmC0a9hZrS9jQPf2kVAisftOqSB14krUU+WEN0aKvF5/wDLNpeWdno7SX13 cSpbyXEhZUjheXk6rURovxNyCogWu5wo4x0fR3mlz/hCxXsdKBI9xPZd/pyuPNw8/VgmoX0P1WK3 ubA+tHaRpbzPIedWYSeowHGqlGoinotOuWEHo4cpbbhDS6ho7XF3Ium+nBLGUtIhK5EUnZyx3f5H ERltuxMo2dkNfXNlO0Ztbb6sqxqsi8y/J/2mq3Svhk4gjmWEpA8ghhQDwwlQUynYDy5Zd/8AS7qh /wCedvkW/okklVJ8PbbIEMbZP6w/5VZy3/47lK9/95MDZ/D8WOebZpofNmvelIU9S+ulem1R67ZE gFsrdL7a6nudQkmuJS8skM/qSyEsdoGFTSpO2I25JpKdRSzj9URXfrlHVY6I68lIqzfEBSh2pgBL JJLiRSDU+FPn74lujyUYbqKOO55XLwM0LKojUkuSR+7r2DCtf8xkJDk2RScGH6xGLgn0C6eqUIJ4 EjlT3pjJlFlfkk25n8wpa1Nt9VkMHUHgLq34fa9vHMDVfVD+sHO03KXueeeW9At9S8yX9xfA/o/T 3eac0BVmDkqjDf4SFYtTsKd82pddEC92/MvmC/8AMOqejCxFvH8McYCqFjUkBm47Ft92O5w0spWW 4dOWCIIgp/MfH55JFL4xNbEiABAxqVoACff+OKpbqEMs88cksrOTyA6BUb9ggdKV64yArzWMiJNQ 2ranCWtYT9ZX4Z4FB65jiwadnk4MsOIbSHMPVPymurzy5a8dSt5Cqu4WOH02JU7gtVxuHY/RloLh gbPTx590eSI8i0e9ERkLk18PS5jCtMT8wa1bTpNxepAosdaMD1G2EKS8c823iKkoDAs+1B7+OEtM ixJAea+/D8QMgxCsTS5l8f3v/EWwJ6ph5Y8xXuh6lHfQ/Ev2Z4W+y8Z6r/Ee+SCQaZLrOgwWPmjT NR08L+htUuIprFl3CgsjMpWnw0LbDw+RxpMhvb6/810HlGzqF5DSx/rD9/Z9B4ZCPNx8/VgWpR6h dW1q7D1o7a2T4o1Y+nFWiiRm+KtQfan2fhplnEA4cgSl8mkaos8lu1pKs8S+pLEUYMq1A5MCNhUg YRONXbWYG6pUsbXW7W/Vba0L3LxsRFJAs3wHYtxdWpSnXtjIxI3OyBxA7DdTv31H0rX65EIlESiA iNU5R0FG2ArVaH8e9clDh3pjMy2tNLrRNUj8tWzyWsqLFdXLSllPwho7dRXw3BG+REok824xkI8m P8B0P45IhrEmS+iv/KsOPb9N1/6dMjTdfo+LGfNlvcT+a/MBhQuIby6klpTZROwrv169BlMpAc2/ c2lX1S5s9QmtrlDHOkEweM0JHKBiOnscMTfJkkFxu225J6eP3Y2yASi5enTA2BL5DUmpyJbA08MV u6TySJNFFOqSxIfiIUknZhShC/jkLtmNmSeRpo5rzzHJCgjhksbiSOMCnFfrMBCgDpQZhav6of1g 5umO0vcxjzDGnl/Q5baNybvUbiSeV0AHwtX4CftUUcfxzbBwJbCkD5f0OSC2MklDPNRnPcAioX6M NsRFPV0pyvIAbYLZ8CCu9NaMHkv+1hBQYqGn+X31KUmgW2iIEr77gdQAN+mAlMYMvstOs7OIJaQB QSWduIqT7n7X04AG0CkYFdq9AKchsdqjr28MKty0U8CBxb9mlQB8/wDM4pWTRQzhhMgdCOB34uDS g4uN9j2xDEh5t578sahYUv0Pr6XK3FZujI5qRG48adxscJLROFMTStQx7BfwpkaYhP8Ay55W1DXL 1jEPTtPUZZbg9BXqFHc0bAzjG3q+tflen+BZY7S2jSSBFmtfhBlkdCORaQbiqcvY7e2SbDHZjHkX 0/MOhx6HPKsFxpFzFdWk7AsTHzLOtB/LUjc/tDwxYx32fU3mmv8Aheyrt/uIG3/RxZ5WObi5urC9 R028trSOY6mnp3NlFP6PJ1LpUKsXEAhih7H55Oxts4cokDmhpUvf0hdj9MxtKYWM1568tJl2rFzI 5P48T4YgiuTWQb+pasd7+kYQNbjSVoOS3nrShUUgn0i4XkDT9npkrFcmPCbHqQmoLOI7Uy3y3lYU Maq7P6SU2jPL7PHpxHTJwI32phMHbe0de6rqknlq1WW8nkWS7uQ6vI7BgkdvxDVO/GppXpiIi+TO UzwjdRGkR+mHbULUMbcXITmxY8h/d7LT1B0KnBx+RRweYTj/AMpp/wBvr/sVyVbtl/u/ixDzmYx5 n12juJW1C6VkA+Ap6zHduVeo6cfpyguSQlFgzPevzerNDOOTNTpA4FSfDAyiEBqunrazTLPNG8cE qRStAwkrzUtVOgbiB3I3yAlbaNixm7YVNOldskzBQaM3GakiJSNq+oK1G2y7H4j2yuTZFCWcsEc5 eZS6cJVoFDHk8bKpoadGIPtiWUWY+Q5Ypb3XmjT0420qYpHQKBSS22+HrSnXqepzA1X1Q/rhz9Ny l7mHa/8A7mfNsVrGOUVrQSAjrxb4th/lGmbfo6+W8mVWtoCV+EUAHh/bkSW0BOba0Ur26ZG2VJXr VmjSR20Yo87cV9u5Na+GNrwphaQRwIlrABHHHWtB1qNzv/HJgKiBHEVDdWahUgbA+P3jCrUSMSRU niab+/y60AxVt4q/CCB2odwVwKowwUpVttt+ladajoK9MKo0WNrd2zWt/Hzs7lfTnjIrVWH2hv1B +Jad8UVbHdC/I4jVpHuZBd2oJe2j3VDEWBjZ2JFTw3K0+/FrGMPY/LnkPT9Jt4uSx84wfTiRaIg+ Q98Fs0Vr8yCEwqqsYulATsF3rQdNsISHzX5GuEsfzFaCHa3nkuIKU/YozJsT4qvfENMfqfW/min+ F7KnT9Diny+sWmVjm4+bqw7WPLY0uz+s3krSetJPBahF2LW7BOTEmoB3oPbJCRPJw5wAFlASWGmG OW9U3P6K3htpmWIObgAMFdA5otKmo+WESly2v9DWYx578P6UvvBYhkFm0jKUHqGVVU8960Cltssi T1a5AdEJUihydsCGS3o0EeWYvRkuWb65ci15ogBPpW3PnRjT2plYMr3bZCPB1QIm8tC/tmENx9SE FLlarzafiw5L8VOPLj/Tth9ddLYeixzpOeS/8qzr2/TX/Ypkr3Z/5P4sS82QNN5q8xsCqiC8uZG5 GhI+sldvpbMaRoub3pULOS01Sa2kdGdLecl0PJKNauwoR12bGJvdIY5cxNU0FT7eBGNshFJ7qoqM bbQhoFdkuioiPGFixlpsOS7pX9vwyuZptgFDTWkjumMcXrH0pwUrw+EwOHNf8lSW/DBJlFl/kv1P rfmBpIjDy0R3VCQ1FY2pQ1AFeSsDvv475garnD+uHO0x+r3MG0nWdGsNb1O41CRl5k+gyJ6lRzJI 2/2Obh14kASmw/Mvy0VdWhvedTQLHCB7UPq1+8YGXihXt/zZ8uIKfV72lRUmOI09x+9rjsvjBOtG 1qw8w3rahalvq1uoiUMoVg5qzClelOO+AjdsjKwm6gkmVdlXofceO/tkkreThSsg+Jh37/cPvxVt KIiuwHFh9k+FeNffFUWhLLQgGtdz8j/t4FY9repXUN3dRQ3a20dnFFJEBGhd3eNWJZyCTuxFOlO1 epYEpxp97NLY2s0mzSRI79B8TAEn+zFkGeeXNV4WqIw+IBm9Qjc8TuPoBXAUJ3PrVsluZPUNadt+ nt9PjgS8489ebEis2epIJovYGlCa0H05JEjTwO0vJY9bjvlJ5JOste9Q1ci0Dm+3/NH/ACjVkD/1 ZxX/AKSLTIdWrL1YZr1tYxWpENwZXS6lWMGZZeUfZuK/YOwqf2q7ZMFw5wDHJCSOIPwjt2rkxJpM ERf3iXbQlLaK29KJYysQoGK9XP8AlHBHbrbKW/RClXFNiMlxIpMp/wDlGrL/AJjbz/kza4bSY+lL Fw2w4WVVP/KsP+33/wBimC92yvR8WG+dgP8AF+uV/wCrhdf8nmykt5G6U6eALl6bfuLj/kw+BnFQ 8yXlxpnlixfTWaGW7kc3d1ESsg4Gix8loVFDWnfMWuKZvo5uIARtjd2ZZdLs7qfe4lDhmP2mVCAG b37V75Zj5kImOSSuQH33GWFiEVavbiaQ2hZZwJinrcSno+i/IGm/MjYdq5Wb6tgIZP5LaYT6/wCs /OX9EOrE/wDGS0UDfw6e+YWp5w/rhzdPyl7nj2tAi6f3O48Oubd1ckDD/fbnv/HAoaRfgYdwf4YE vTfynkX9D3kdaEzgn/gB+ODq34uTPS8EFu8spJiiR5CCKseClqDw5H4QfHJthStdVlku4I5bX0Ir 5XNqwflsoaoJooNSCAaYsbTB6rIWFNgwYEUqadR0xZL4JzWjHmENaipFOvT6cCuuYLacpJNbrJIC ODyKrMN60BI8TX8cUUu5MOPEmn8o3FO5oMVdrev/AKJ0OzZdpJ7iYAcgBwCxfhUYsSaYrc+c7uZT VyF25Cpp+vCwMmGeY9ee6JjDMan4iTXbAxJSK2blJ7lhT6cDEPuvzIyHyna9D/uKTh40E9vyr/wu Um+PypjlIo99sN8x/o1bGAWtobeR5pZY5Srj1YHNY/tgbBacaE13rTvZE24cyKY5FDLcTxW8K855 3WOOMdS7EAD6SclyarTLS7Vre6vy8Sy3+nwTyW1k4LiW5hRikfEfaBdaEVqe2U55enbq3YYgzosI 8leZPM2qa3JZ39xcalbTRSNKJ3aVbcKK+rHWojCmgoNt6eGUZAIUY87+bsJQEokFmdwR/huy/wCY 68/5M2ubC3WHklYNK4WDKeX/ACC6tP8Apd9P+jSuLP8Ah+LFfNsUUnmzzGZJViMV7dMit+2frDDi Pvr/AJ1yqTMncpb9WhttTnhhnS5jSCXjcJsrcrdiaV8K0xG4SDuk7XdxAjIjfu5Kc423Q06VU7ZC WMHm2RmRySm8nimSV7n1JLglBEVYBQo+0GqCfs/ZpiIVyZiXekV2IhcSGLkYeR9PlQNxrtWm1aYg Gt221S1S3kldLVpopwsrJICN40hdmWi0NXpQ79PHIG2yNMl8pwNBc65E0rTN+i1HqGtaPPZEAVJ2 WtB2p0zEz7mH9YOZh2v3PLvM0Ki/uOK8VDvxXwAY0GbV10gksIJnAHdgPvORYh0QqrA/R92CmQZh +W+qrY6o1pJT07sBQT0DKCRgbsR6PWBxlV0cApIrRyLtSjDjTbx9sk2kIKx0Cwsbr14jJJMARGZW 5cOQoSpAG++FAFKsqjlUAotdmHt0JYfLAlzMiDkDyMg7Gh5Dx+jbFVUFiQp6V5Gp/piqJWIAHjyE jnYdSRX5dcVYf+anqxXNt8X+i6ZbJBIWJB9aR2kcU6clZ+FBv8JyIkLphkiQLec3Gqs4Kqxock0W l7ymRq9e+KE18p6W+qeYbGyDFRPKOTDqEQc3I/2KnFlEWX2r5gYN5UsDXc6R28PrFtlfVpz9WO+Y r661WxC22mz21taSSyzNIzFK1UEIpCqhDMeSr44Y1Hn1cTITIbDkka6FqI1M209nIzQAT3VuCFYQ gjl8R5U606HftkjkFXbVwm6pD6hBc2Nykgie1EoE9sparCNySnxCm4phjUh3pIIPcozalcyiQEhD KeU7IoQyMK7uQPiO/U5GOGIN0zOWR5lM4bG7vvLMH1VOf1e5vp5twKIkNmCd6V+0NsmZAc0UTHZL rvTL6zit5bmP00u4xLAag8kYAg7HbYg/TkoyBuujWbFX1T6v/ILv+35/2KY9Wy/R8WI+dVT/ABdr tKljqF0a9h+/cEdMhbOQ3QOkrE9wYXZYldJOc7AnipjYdvCtTtiUxQeo2dnFFI0V2s7pKI0QKRyT jXnUmnX4aCvz6VgCT0TYSdrS0kgneW4EMkbRiOMoW5hjRzUdOA398ZWOjZEhI76CNbh0R+casQsh FKiv2qCuDem8HdYlnp31hg1wZY0dwEoIyyKpIbkzcQSQB1+/plVnubwBfNPvKi28c+srbtyh+p0R ya8h9as9zsOvh2zHzfVH+sHKw9fcxHz9pr21+X4FVkBry2PIdQR8qZsnDyDdhUB43IaleDBiOnQ4 tIRaQVFAKD5YCzARlrbPHIsiVDqQykdiMgS2APTvL/mAXdqkUtFuhVSD1IPcd8ILcCn0TeqrKeu+ w3oB1Ip0ySrZiKFjseorsKCn+ZxVRg9Ro2CqxCfZfdqD3IpgVFQQ/Z5jsCRUVrStcKq+pavaeWdI Ot37GNiCmmQgMZHnoaSAqDxC8TxY7cqdgcBQSAHhvmzzbqHmTUGu7kCGEH9xaozFEFAORJPxSMAO bnc+woAxADjTmZFJqivtU4WIbX+B/Viln/5R6eH1yW/lAWCxhoshIAE059NFNf5lLjA24xu+qtba vlPTzuP9w52PX/em2yvq42fmWPeYJ9duLBor5ILe2SWS4jIlVubkI5RGDvXabmKbGuTunDykkbpH JpDLeyW31y1BjjMnrCZTG1KfArjYtv0wie100mG9WEHqETQCAGaOZnjWTlEwbjy/Yen7S5ZA2iW1 K13o7WomreW03olFpDKsnLmCapTqFpvgjO+hZSjXUKsxdPK1lxJFb69Bp4GG02yfVBHpS3mxABNQ BQb1xtiIspr/AMgur/2vP+xTI9W/+D4sP86kf4v13/to3f8AyffIM5HdBQwmG6lQsjkQSEsjBl+K I9CPCvbGJsJSuVVIpQ8q7+FO1MNopDpbWckUrT3BgkUxiJOBfmGajmo6cF398hInozjSS39vCs8i JJziDkLJSlVr9qh3wC6b4ndTS20aImZ7z1HWRlW3aFirR8Dxct4FqCmx+XXKbl1DlREa5pr5XFqJ dWaJ62wsgC9OGwubMNtvTvlGb6o/1g5OGt/cxjTJYtd8vXNhPIPr+lsfq6hTyaAKeCinXpx9qCvX Nh1cQbj3MKKelcsrdWPU+xwtQTK3iBOAtgTyythQVHfKiW6ITiy0pZZkAJV61BBA6e5wBs4U21Dz Ba6JfGy1C4UlCEkkK0IelSlFLk8QRy8DtlzWZgHdHW3mTSbqMmG7t2WMVJ9aPYfJmUjf2yNsgQUQ 2saNGpd9UsolXutxFKwoeyRF3+5cNosKV1520a2l4aSh1SVVXhezK8VuG67QMBK9P8plH+SRjaOJ AjWpdYmuf004uRfApcl6IvAgbKE48aBRx49O2IXmGBebPI91pZe909XudIIDGT4TJDUn4JQKH4af b48T89sLROFMWFdsWCpFua9h1xSHoWomPQPL+kaHFRNS1GaK71StQyhXVoo3UglaGn0qfHA2nYPq TW6L5T04f9qdh9AubfK+rj5+ZSHzFYTm1uZr/UkkWO5kZVSAx8riZVLV+FOPL0yrA/ZZT3rk7PRw ssdtyks1joK38sK6qZLNYuUd19XcF5Kj4OBNV2qa/RhEpVy3ajGN89kt1CO0iSEWtybkNGHlHFk4 SH7S79eg3GWQJ6hhIAVRRd9osFobnhqVtc+g0YT0mDepzBJKUO/Gm+CMyejI4wOoXz1/wtY/8x95 /wAmbXJHmzA9KVA/7WBFMrr/AMgu/wC35/2J4tn8HxYf51p/i/XfH9I3f/J58gylzSyxNXnPhDJv 9FMKxQMvQ4KW3Q2+nyW1w1xO0NwrRiCNULB1YkSEnanEUI8cjK72ZRqt0q1C1hWd1ictCHISSh3W v2qHj/DEXXmzid1KGO3trv1rFprm7WVlghjBBKUPFwxVq0P7JXfwyrfq5cCOiO0O6kmfU5XhEDiw jiWIVoBFNYolK77qoO+Y+Ubx/rfrc3Cdj7nmvluHzBHq7ahpdq0yLIyS14pG6lgWj5vRa9Om465n lwYXeybeePLqwSfXbSrwOT6lBQKxr2AHEHsO2FlONJPpk6y1B+FlP2fbIlYsmsqbD3youRFk2kzL Y2V5q7KD9RjLxMw5J6p+GIMPBpWQZPGFnKg8k1a++t3QKkmKOqoT1PcsfcnJkuIU88i6LPqqaxDE hkkWzZo1Xclt+I+lsxNTl4OEnvcrTY+ISHkktvswr1rmS0p7ZTUpuMWSdW1w3wsp+Jd9vEb4U2yD TNeeHirt8IoK+H4YptjfnTRPLTWE+qxSLbahKymO3RtpZGccz6e5ACkmoou1Op3NsJxDX5a+U/rV 0mrXkRNrbmtojiiyy1Ir7qhH3/IjFGOKB8yaN5sXWTq2r2TRfWZkPqIVkiUVVY1LxlgKLRRy3OKJ A3ZfW+vkf4U04/8AaocU+Vzb5UObTm5ljuv2WlW9k0sl5cXV2ZGQwPMjcJCinl0PNQVYFhSo4+OT 36OHkiKSRn8vC6LtDdfVDGRHF6ieoJNqMzcOJXqKAV7+2H1V0tqqN9aS++ax4w/VFkBEa+v6lDWX flxp2ycb6tcq6Jhf6LplqLpoNXiuzB6fpcFI9XnXlTc040/rQ7ERyE1szljAB3anP/OrWP8AzH3n /Jm1yRZx+lKwd/wwKyz/AMpX/wBvz/sUxZ/w/FiPnKC4l82eYZI0Zo4tRuvVcAkLyncCp6b4CQES sktWq2UGrT/WbGSG2SECSzJb1A1EDDchvtb0qPoyO5jsVid9wlmqWkyXl2VtJbaGKU/uJA3KJXNU R67/AGSBviJDbdTaXfV7iSKSWOJnji4iV1BIUsaLU+5wkjkkIe8tpYWMcyNHIv2kYUPiPwyN22hA Wcdwb8C2jWSVlkUK7Mg4lDz+JWQj4a71+e2Rk5ONNbVNQWTVn1AcbsWkZkAodvWsOB2qN0ocxcvO P9b9bnYSd/clE1xqbW5uY42i09JRbJdleKeqFr6Ykb4B8IrTwzNAtqMqdY3yXRuLX1frcNWidaMD IlKPwBWNg1OlQPljyUG2K+Y/KlzpUv1zT6z2gNTIBVlB3HIfynsf1YWoxpW0XUY5lTmOLVAIPQnK yGyEmQ+eNfubTymbJQeWsTAPIQBWODd12AA3dOgyY5LlLyzhuvuTgaXsf/OOlis+qa2SKlLSMj6Z GGabtiVRHvdhoOZYH520ddG8yXdtCvC3Lc4VrWit2+g1pmdo83HjBPNr1WLgnSW2926nfpmVbjJj DqhVadjhtNrLnX5QhWPdz022w2glH6H5WvL+ut6yrLpUSGZ1YlHmVF+FUA3CE0qdtuhrvioje7K1 tNXu9JS/K8bGM0tbKIsWjtkVUEkipXjHGSic3Kjk4Ar2QyJR3l3zII3X/SvV09SVndg5C78Q8ZZV cKGoGDeNQPBSC941Wp8n6YfDSZvwu7fKxzcbNzLENdbRQjJYRRB1moJYzNuixryP71mHFpC3EfaA G+TDizASRiKU79MlbXwrWdmAB/YHEbU2r7fPEFBisyVseFNZ/wDlFrL/AJj7z/kza42zr0pYylGo 2zbGnz3wIplf/lK/+37/ANimLP8Ah+LFPOEsyebvMEccjLFLqF16qAkK3GdyKjoadsBosZA2UFby 3M31yWeV3kMPIu7Et9tADU+2EUFAO6BuLy+maQy3Ekhm4mYu7Nz47Lyqd6dq4KAXdDCWdI5IkkZY paeqgYhW4mq8h0ND0xNJAKjO9xcynmxlmcisjtvsKbsx6U8emDYBmLtZBoOqSXQSH0gzvJA3L05O PFSWLxmpC7faI2+dMq4gXKhafeWPLmqapd6/YOxu9QXTZ5g0YLGRonsZFC0FXLAUB75h6rIIcJ6c Qc3EedsQt77VLJ7X0pkf9Hzi8htLggxSOyqsmzBkLSJGF338M2EZdWucVLytpEv1x768lEcCScgU rV3A3jj2JaRiy0psO/aqSsQs83+Y5dIayt4I4pnZKXNvIvJDGqhB07NRsbWRpK4rXy9qg56VKLK5 cj/RJiRVm7Kd6/7H7hjxBjwjol/mWx8yMkUeoQzNbW1TE5q6guRyPIVWp4ivfYeGFBvqkP1RgFUj cEn76f0yNop7n/zi7bWK6prbXjMoNrCoKI7irSOd+CtTYbVzS9r7iLl6eUhfCLYv+elnpsXnOZbN zNGYIyH4stG5HlXkFPTLey74Dfe2608RBOxp5t6DcarXbrmzcBNtO8o+YdR4iCzlCnf1JB6cdK0r yagNPAZJIiSmyab5W8uxsdTddV1qIsFsYiWt42B+ESGgBIZfiU/LicbTQHNPPLuvzeY9H1TS5gse oFC1rHEvpxiAKqekvsrePj4dDbIG10MmoW9rNZ25MN/LG1nqKOz/AN3yRqJ6RHLeM7PVSCCNwCEF iQiNF8pXjQyaZMji/mP+jwBWUqHcO8kiMvJYwgoCerEAV3xUB9GebNPl07y3p1pLtLHpkyyA9mM1 uxHboTlfVx8hslhmv6vol5ZehZWgimWfms4hjhZouHEB/TJHI7EhQFruBvlluPKixw0piwpYT92F aW1Hfp44opNJ2A8rWYNP97ro1/542+FPRK+Q79cLBlvL/kFXT/pe0/6c8WX8PxYr5zH/ADuGu/8A bRu/+T75FkeaCsa+je7/AO6P+ZiYpCBMa+mzcwGBAEZrUgg7jalBTx74opDsvXAlTMVcU0oTWjci YyQdxyXY0Ox+8ZEtkdnq/wDzjxp0UWtXE71Mxt7iNN6jgGtCf+NcwtTDiIB5W5F+llPmL/nH7y5q E01zYXD2k0rs4iYcohyJbiKcWAFaDelO2GBnHa9mfj94SQf849agGUjUIDwFELGVuP8Aq8g1Poyz xZMvGigbr/nF23vJTPdzwTTEULtJc7fKhAFOwpTHxT3MTkipr/zinpgH95bg1rUSXP8AzVg8Qr4k e5OYPyCvoIPQj1GMxd1kaWWtTXcycmI9q4PGl3MhlihL7/nGuyvG5TyWhfu0aNETt39MLXK5aojm Ecce53lD8mZtG1XULTS7pLMyLGZ3BkcMBy405binI98wTqIamQiY7hyxPwoCQ/iRmpf8426Zqlwb nUL315yKMTzAp/sWXNjixcAoOPk1fGbIW2v/ADjVo1oALeS3BBqGeH1GB9mfk345MzkGvxY9yMuf yFW6haCbUyYWHFlT1IyV6EEoyGn05HxZeSfGHclf/Qq/lM7s61PXec/rkx8WXcGPiR7kTp//ADjP 5f0+5S5tJxFMlQHHqk/EpU1DSMDsemTE5L4sR0TE/kBp8k63Et8fXBBEyKUkqBQfGpVunvk7n5L4 w7mTaB+VPl/RphcwvJJdlg8878XaVlrT1GcOzGjEcieVCd98ZY5S2uvcx8byUvzRVPqisacvqtwF 8aepCT+oYZXxjuprocJ73ijUBp9+TcYrHIHeo8R0ySqRYUxQVu9dvuwsU0m/5Rm126Xtz+MUGLLo lnfCwLLK/wDIKf8At+/9ieFl/D8WMedB/wA7hrn/AG0Lr/k++RSeaD08fuL8jtb1H/I6PAkIB/Hp 74WJX2los6MzTQxBZI1rKWBIckEgKG+EdW2yJNdFBU7i3C3rQh0VBIUEgJaMDkRUEAkr70wjlab3 RVxptvDaCRbqGWb1WjeBK8l4k/EDTiymnXICV9Gy3oX5D3Pp+Zrm2Zwsb2kjIhpu/qRV413qVG9P D2yjLzDdE2Hu+BDWCldjSuoceAq7iceAptaVOUZMJKQUFb2DRavLdfsyxKp+anMPSaWUM9nlTfPN eIR7imObwhxWjlRCXUyIirqYRFWxloQ3XDYV1QO+SEgrzD85tX9JbCzgnXm6y/Wo1oW4ExlanqAS uRMrKnYPIZJDWqt8QNCp6n3wtJWh61G+3UYbQsd1+k7Y2rQJG9a/RhYpxJ/yjdv7Xk5++KL+mEJ6 JZQ1wsSyyn/IKaf9r3/sTxT/AA/FjHnMH/GGuf8AbQuv+TzYCk80Npq1tdTJ7WoP/TxCMCQlzL49 PvxQtKjqMbXhaI3pja8KvaWlxdTxwW0TSzSMEjjQVZmboAMDIRX3MFxaXM9tMAJbd2ilCsGAdCQQ GUlTuDuDTIkMwaWCU06e335DgDMZC4ynsaYPDDLxC007U609sfDC+I2ty4/aP44PDHcnxVQXclD8 Z29z3x8MdyPEXfWZ+gdvlXHwwviOF1ODyEjVHQ1O2Hwx3L4hVTqF36bR+qSrkE13Pw1pQncde2Ph DuXxSsWeY9yfpOHwx3L4pa9WQ9Sa/PHwx3L4rfJ6dcPhjuXxHB3Pf6cfDDHxFRGft92S4AvGVeOR qUB6+OHhDEydzJ/phpiVKQAkCnzbGmKg0cpJ49j+GCkIhY3EYY7cqgH3HXDSlcqgYWKaH/lHlHhd ufvjX+mFPRLeJxYlln/lK/8At+/9ieFP8LF/OX/KYa5/20Lr/k82BTzUdLB+pat/zCL/ANRUGBIS 2hrilaRiq2m+2x8cCW+IAOKq1slmyzG5kkRwh+rhFBVnHQNU9K0/X2oQb6Mb727+OyScixkkkt6A q0qBGr3FAWwxBrdiZdyu8Wh+lJxnuPVEaGFTGpBkqeYb4hQU4navfr1MRxMuIIKAWxuEFyzLbk0k KU5U9q4ZDbZAl3uvxpYhh+pSTvMeXriZFRRv8PDizV964AD1RKQ6LrCPR/3H1ya4SrP9Y9JVai8T w4ciK/FStfwpuJcXRYyHVBM0hJo2SpHEU5lXQClx9SmuyQU+qLMqUNaBuZU/Tt8t+uRjxXvTKUh0 tqxj054ZTePKstU9D0grLSp58g1KmlKb5Mg9ECXeuvYrFLhxYvI1tt6ZmCh+grXiSOuSiDW6k77I q2g0M28RnmuRc8JPVVVQpzr+6oS1eNPtbf2QIle1MgY9bULOKya8Vb15EtaNzMIBevE8aAmn2qZO QNbMRLfdVuIdPRIjavJIxX996oC0av7NCdv8/YAX1TxDohyRXFmCuBOKrqEUB6/iPnirY4k71p3p tihoU374q2B9P9MULh1+nFCZ7nQT/k3Qr9MZ/phT0S9qmhPgN/YYsWVf+Ur/AO37/wBieFl/Cxjz l/yl+uf9tC6/5PvgYy5qOk72GsbdLNa/9JduP44pBSz6NsVtaKswVQWY9ANzgK8SrPa3UCj14JIe XT1FK1+VQMAkDyKbUlAwpt1K4opsowAJ77jFacYj6Yeq0JK0r8XwgGpHh8W2C14VORdvnja8KiwN OnTBaOFaAa4LXhXKnfrhteFetAfh3GNrwoqOlBkgU8KqpONrS4NSo7/PCtLj9o1IBHvWvbG14XAj G1psb/TgSqhEIHFiX71FAPpqa4pcVPI+3XFVrEdThpgZLoUlnlEVvG00rfZjjBYn6BviaHNjxXyV Zbe6gcJcQvC9K8JFKt4dDgBB5I4qdXt0rhSCmKb6BMB/y1R/jG+LLolkjBV8a4GLKPR/5BRTv+ne VO/+8ePRs/hY35yP/O365/20Lr/k8+FrlzWaMK2Gun/lxX/qNtsUjqlD0BJHSu3fb5jCA1mT0by/ p1jovl9tScH1fqy3N1Ooq/FyvGNanb7YB+Vc1mSRyTI6BysUL2CVeX/O8WuX7WFxZ8YnBJimYTIw Xfeqpv3G2CeHgFhOTFKG92Eh1/Sk07VLi2jascbKUr9rjIodfnQGhzPxS4ogtBFGksNaDwybIFsH FLjgS6nY4q4xLXBSrPTB33qPDGlcIgCRTr38MaVdb2d1LMsUMbTSOaIkYLMT7AZAkBQFeazvLST0 7qB4HpXhIpQ7/MDDGQPJJBHNtO1fp8csQ2fpp4Yq0ePU9sUO5qem+KqsbsK0NCRQj8cKrlenv4Yo XBmK9Nu+IUlTcM7BFFWYhVHudslyaZbvR9c1TSfJHl6OOGJ5HZxC3okRyTSBSzSO558aV8DSoAzV gHJKy5cMV3EGqQ3lbzjp/m6CbTbu1IZQCVdlkZQ4KiRG4r8Q8aYyicZsIyQMKB3BYzqdvLa6hdQ7 KY5ZI2VKqtAxFAN/hzZA2AXFOxIVol/51+49rqH8Y5f6YtgOyWuoIFfGowItlnEf8qsp/wBrz2/5 ZMPRn/CxPzpv5v1wd/0hdf8AJ5sLXLmVui7adrtN/wDQF/6jbbFR1ShqnY/OmENZeh6PfW+q+X0s 14ySLALe8tCTXgtFD70r9kNt0OazLAwkT0LkY8pFSG/khfLfkm00S7k1Ce4YoilQ0zIqIGPUsQu/ bfK5TlLZyMmfjFVTG/MmpR6hrFzcxbxMQkR33WNQitQ/zBa0zZ4sfDABwpSuVpWexpQ5JmG1UEgH YE7nAydQ9xTFLYFO2Kt7eGNK0B3xS29ONPliqa24mbynqwsmpcB4Tcqn96bccq8ableX26duu2Ym X6xfJzdJyPelujXM0mj3cTlntYyptjJUgTM45iMnxT7fH2r2x4hximzOBwG/g4dAfxzLdc04JNQa HFVhjqeRPxDr/XFVRKUB7nY/LFVVRthQvUb74UO7GmIYl3xB1ZDRkIKn3GSq2ovSNV0rSfOejRss jJIrCU+kV5xSlKMrBh0+jemaoiWM05mLKBvztR8k+TNP8pxXV3czECWnq3U5FQFqeK7LWtKhRv4Z GQlMsp5+PaqAYpql2b3ULi648TPK8nHw5sTT6M2wjQAcEmzavEp/QF34C6tiD/zznwNo5JZ02+/F iyvf/lVtf+15X/p0xZ/w/FiXnL/lMNc/7aF1/wAn3xYy5t+W5dM/3I2uoXf1KG8tfRS49N5QHFxD LQqm+4iOBYow6H5O/wCpmFR0/wBCnwrwjvXJo3k+Ng6eaCrDcFbKcEfLfG0cA71e4sfK1zT6x5sl lK9OdlO1PlVsEQByCTAHqh20TyX/ANTMx/6MJf8AmvJWkQHe1+hfJfX/ABI//SBJ/wBVMDKh3rv0 L5LHXzHIadD9Qk/6q4pod7baV5Mb7XmOU0FB/oDdP+RuBO3et/Q/kvf/AJ2Kag8NPY/8zsVod7v0 P5MpUeYZz/272/6r4rQ72/0R5MI/5SCf/pAP/VfFdu9ptI8l7D/ENwP+3ef+q+K7d6+307yjBKks PmO4SRN1dbAgg/8AI/IyiCKLKJo2CvvrbytezCS58zXMj0oC2nk7eA/f5GGOMeQTORkbJUhpPk4A D/EE4p/2rz/1XyxjQcNJ8m/9TBPXx/R5/wCq+K0G/wBD+TOQP+IJq/8AMA3/AFWxWguTRvJla/4h lr/zAP8A9VcVod6qNG8nf9TFJT3sHH/MzCih3r10byf/ANTE4+djJ/1UxWh3rhonk8/9NGR87Gb+ DYood7hoflH/AKmSn/RlP/U4bYmA71e203y1buJIPNHpOPsstpcA/eMTR5sRADkVa7t9FvHD3Xmn 6wyiitJbXTUHtUGmIAHIKY3zKgNG8tdvMcX/AEi3X/NGNqIDvW3yaVaaPJbWepLfzT3EUpCRSxhV iSUGpkVe8g6YGRoBJDU7/diwZTQ/8qupT/peU/6dMLP+H4sR85GnnDXKf9XC6/5PvgYS5lJ698UL gykdN8UtDp4Yq4fdXvhS3/nXAlcZXKIhPwpUqPCvXFLq/hirf8cVWjYHFK7cD+uKtVxpWj0J9t8U ODUqPDpkUtBqyD3xSr3BUQxem5cuOUq0I4NVgB/lfDQ1xVSjCkbNv1Pjiq71W5UpQePthQrKBSvj iq/Cq7tiq6te2KGx1xVcCanFC4E9AMVpUUCnWo74q2Cabf7eKC2G640hlX/lL+//AB2/+xTCz/h+ L3rFyHYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYqtl9T0n9PaTieB96bYq8RvfP P5pfoy3uvqutxQR6ZZrdSWei+veNrUcMhuY1tJ4oudu7yIXccUPArHIrNuqrah+Z35xx6pqUNv5Z 42dpe3UNtI+lalKZ7WL1eEkTRSFXMaLE7FuAmLskVWT4lWT/APKz7+28pWdzJYx6l5vdUa98u24n guoQ4Lc5bMR3d5EOPGo9NwCw+Lh8eKoi689avZeXr1Lr6ovm1Lm6i0/S+DrLNaxXskEV1HY+q1zO Pq8fq8Y2rJQhKVGKpT5Q/MrzfJreop52srXQdBhiiGm6jcxXGn/WJmRHFWvG9MeqC7LCpaSPiVk3 G6qQWX5s/nAxglu/KzLYyW08jXEGlanLIbj9HrPFEkKMx4xXjGBpGak32o+K1ZVU08u/mD+bOoG8 a90JYEhm00W6nS9RgZoLi4hhu+f1iRP3ipLJIvpeosYQ8yduSqv/AMrG/MH/AAt+mf0LN6/6Y+rf U/0Pqf1n9H+hz5fVeXqcvW29blx4b+n6n7rFX//Z xmp.did:EA6B3FE0E9BEE111B24EAA1EEECF6682 xmp.iid:EA6B3FE0E9BEE111B24EAA1EEECF6682 uuid:D9098E846DDDDF11A669AA5AACADF97C proof:pdf xmp.iid:E96B3FE0E9BEE111B24EAA1EEECF6682 xmp.did:E96B3FE0E9BEE111B24EAA1EEECF6682 uuid:D9098E846DDDDF11A669AA5AACADF97C proof:pdf saved xmp.iid:BA2AA763FA86E011A2FF8F158748F4E1 2011-05-25T13:28:36-07:00 Adobe Illustrator CS5 / saved xmp.iid:022C86193A37E111B322E735D87BBC1E 2012-01-04T17:19:17-08:00 Adobe Illustrator CS5 / converted from application/postscript to application/vnd.adobe.illustrator saved xmp.iid:65260F2DE63BE111B456AA8A1489FC3D 2012-01-10T22:24:14-08:00 Adobe Illustrator CS5 / converted from application/postscript to application/vnd.adobe.illustrator saved xmp.iid:CD256E1165BEE1118C47EEACA5DFFF40 2012-06-24T18:28:29-07:00 Adobe Illustrator CS5 / converted from application/postscript to application/vnd.adobe.illustrator saved xmp.iid:E96B3FE0E9BEE111B24EAA1EEECF6682 2012-06-25T12:50:50-07:00 Adobe Illustrator CS5 / converted from application/postscript to application/vnd.adobe.illustrator saved xmp.iid:EA6B3FE0E9BEE111B24EAA1EEECF6682 2012-06-25T13:09:01-07:00 Adobe Illustrator CS5 / Basic RGB 1 True False 6.833333 3.249993 Inches Helvetica Helvetica Medium Type 1 003.001 False HV______.PFB; HV______.PFM Cyan Magenta Yellow Black Default Swatch Group 0 K=100 GRAY PROCESS 255 K=90 GRAY PROCESS 229 K=80 GRAY PROCESS 203 K=70 GRAY PROCESS 178 K=60 GRAY PROCESS 152 K=50 GRAY PROCESS 127 K=40 GRAY PROCESS 101 K=30 GRAY PROCESS 76 K=20 GRAY PROCESS 50 K=10 GRAY PROCESS 25 K=5 GRAY PROCESS 12 White RGB PROCESS 255 255 255 Adobe PDF library 9.90 endstream endobj 271 0 obj << /Annots [272 0 R 273 0 R 274 0 R 275 0 R 276 0 R 277 0 R 278 0 R 279 0 R 280 0 R 281 0 R 282 0 R 287 0 R] /Contents [289 0 R 285 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 1417 0 R /Resources 283 0 R /Rotate 0 /Type /Page >> endobj 272 0 obj << /A << /S /URI /URI (http://www.xilinx.com) >> /Border [0 0 0] /Rect [275.58 26.4 336.42 37.56] /Subtype /Link /Type /Annot >> endobj 273 0 obj << /Border [0 0 0] /Dest (G3.473143) /Rect [486.48 709.62 530.94 721.62] /Subtype /Link /Type /Annot >> endobj 274 0 obj << /Border [0 0 0] /Dest (G3.446181) /Rect [162 709.62 206.4 721.62] /Subtype /Link /Type /Annot >> endobj 275 0 obj << /Border [0 0 0] /Dest (G3.444094) /Rect [298.26 236.64 558 248.7] /Subtype /Link /Type /Annot >> endobj 276 0 obj << /Border [0 0 0] /Dest (G3.444094) /Rect [162 224.64 195.84 236.64] /Subtype /Link /Type /Annot >> endobj 277 0 obj << /Border [0 0 0] /Dest (M7.9.71981.Reference.1.7.Series.FPGAs.Overview.DS180) /Rect [241.08 399.84 270 411.84] /Subtype /Link /Type /Annot >> endobj 278 0 obj << /Border [0 0 0] /Dest (G3.473273) /Rect [194.46 697.62 203.94 709.62] /Subtype /Link /Type /Annot >> endobj 279 0 obj << /Border [0 0 0] /Dest (G3.473283) /Rect [197.82 411.84 207.84 423.84] /Subtype /Link /Type /Annot >> endobj 280 0 obj << /Border [0 0 0] /Dest (G3.473143) /Rect [212.82 411.84 257.22 423.84] /Subtype /Link /Type /Annot >> endobj 281 0 obj << /Border [0 0 0] /Dest (G3.473299) /Rect [394.56 278.04 404.52 290.04] /Subtype /Link /Type /Annot >> endobj 282 0 obj << /Border [0 0 0] /Dest (G3.473143) /Rect [409.5 278.04 453.96 290.04] /Subtype /Link /Type /Annot >> endobj 283 0 obj << /ColorSpace << /Cs8 1435 0 R >> /ExtGState << /GS1 1436 0 R >> /Font << /F1 1437 0 R /F3 769 0 R /F4 772 0 R /F7 807 0 R /F8 800 0 R /F9 797 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC24 792 0 R /MC25 284 0 R >> /XObject << /Iabc1841 286 0 R /Im4 288 0 R >> >> endobj 284 0 obj << /Metadata 290 0 R >> endobj 285 0 obj << /Length 18 >> stream q /Iabc1841 Do Q endstream endobj 286 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc1842 780 0 R /Gabc1843 782 0 R >> /Font << /Fabc1844 791 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=?dQHL_%ee8>϶FU3ۏ}bH&|բxy /KYsKhf>e9 M \097LUǪH]?^|v+Os(R.*x P?|۾{wl endstream endobj 287 0 obj << /A << /S /URI /Type /Action /URI (http://www.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG932&Title=Kintex-7%20FPGA%20KC7\ 24%20GTX%20Transceiver%20Characterization%20Board&releaseVersion=2.2&docPage=17) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 288 0 obj << /BitsPerComponent 8 /ColorSpace /DeviceRGB /Filter /DCTDecode /Height 1039 /Length 186361 /Subtype /Image /Type /XObject /Width 1161 >> stream Adobed     $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;"?   3!1AQa"q2B#$Rb34rC%Scs5&DTdE£t6UeuF'Vfv7GWgw5!1AQaq"2B#R3$brCScs4%&5DTdEU6teuFVfv'7GWgw ?]!!I#9"$sQ%h'cf`4I`AaǜHSϸd'3[{_dd! ʱCRv%öFI2ܚZTpl wU@B0w(˚Ŗˆ|hИ)794*۱]c!,k,;.Dk!gSvzXd4+W1z 4 zeXԆg7u>^s+q6ӱRƴrH^v..$|ptor<ԫR]^{"F:[yFpZD p[+h4.2YgPV LOnS~I!)#ISQ)!vGŤ@ MIML+tσw@LTk6BƊ` ;= ڂ5ѮxdɈ<&M x$iXI<|e& ~YgӰL;,'o6;xwJw  {dhBBP4H'_KHA*YZV$U Ue`@y, S"wn%SGӹ[opivyrbI(d7U$#RmHZ$=*C:5&#J4BĘШ8$纆 $eH4E,̴u1ZTtjسWr<"TUU59E^8i 6<;s%;Sx/PФ;h1ΣHyOy"rt*! DcFHҲdLJ5X/$]"4AxTB"U[\Ҿq3C}8,ܾ;suxٶTlj';gx Z{TCZ 2Ȏ 6\;Z51S%_;ݮ={@̫\CYI_ JLGMXd[u4ix0S&>)-.tLBLl:_kCa{%4Ar>)vU3h! $Apy _d"fG^u"t"AQ5S-h#Yٹ!NYU:%<_'RJ%c̓nSYuHW@=ǂ;4ʌ*s\-0 ?%r\'࢖3P.v+#?9o +ߓ"t5D鏴F р۞{}I"=ݧ45 A*gN4tǽhƂ>ᓋ`.zۙ^qQK5K}̢&rs3Ap;K~=NF\$&9Ox5%ƺ$n)c4ti*i١H4jzκZؔ.,"Pꎳв'2znb<O0\e3Ny-e~s*n4*w Zt!g]p[wyIzLv ӎI0sE'ђhX #[t';F> 7cgHko]`eQz6s=ZNcG}a=rloOEhN 6^1p|ðX3T1 ϷX#{/Dnm;I[Vk^A$ Ix!?r̍~s;+ W Hwbȓ%5H;Ԅj0{F"PK6zT&uB5:'P.IB׎ܡJa[$F< A< CƹN}-#=xM!2^9iaj\yT84Ys =jOnɆ='as0qgRsqY4aGَ8dãѐ/}m"6&Z}DzEc1=;FNň1U >IjUN;k9Y/a4(uX&KIU^A3()P뙸 !w,@:ǃ{,ګ׉phW5!X3/ 己-?HNi)ي UkmsggXH<<>%_wC=6ȩuP@ö6Ƀ#1ĽZ #mcje4zud5CV=.@О ŢAW;>z^R4MIWEesXVtĒZ4Cϊ.;֗4Iݒ32cétBY$LFERA -Jpl Y,[W Wq~[!n|ַMMuqisңB 9H=+k\j33rs^^h:F?xN@,sIuW =C#$7}`Oc$QOMSm/O ZcR消RK_Rkc !Pʙ 1~+ƶ*kAv^x\C+N@I@gS}Bvzv=h xN켜Rl$ vG6続MX9g塒j',9E9U8, *ėDhd`Zjo\u[NHB5mT*unp<@c;Ql?׸4s:ޜڛ6>iQ-}i Gk ɲmhtX]aHq'fcc6^Aqᣕԯ}7li0 s& ɱH&mWma0]Ī;~sCki[F)% AEoctLZ|UD=+,q+ ٮD#y_#VTzkIciJֻ3T2~cTSy Z̲\T-h/~ +=B4iLkz0~ks8 HC.&/6ZG?4T_Nq|ދ ЍG޷rK닃gAAXlyMiQ2A;x򬞕Pս LR$:)L6dĥjD\HӔD/HA< %,SDhFrGi|hhqq챫 :OP\D̨uS`A*kjeE}N [ZEb]<-ʵ LJ % ydvMSkslV:ct,OGdQn`׎Dp5j pʳsOPқ  nUYf˝rqs(4Q+-ہB&h7Zeca/2 %WG.qH9x i?EI iū+gdL;O+Qt(}=͇kP`;OPꎴl[YЪ6KKA'I g8 r~j4Yc}r@vKHtv0yRXdκU:Պ͌x$5A_oiG &44@qXyY@ s|6v xctۯΧħB<:I:vW}x]Y,njOuB{20u bZS\h5,^i>OSV=7nq06md="|9cs+:NJoɴy4pҋyKNWcjt?v[zuFYǿ 'DLi]|Sx ߠn(aRdC+[g2ki h{a"@)vCK2|/ImP5 ,@ԫw-5ڈ$p,4uijQrzuNi @f1CNk$ z&pAY4uFCD 38AD  ]R-21 V  LyJ-x9oI$ح|^O;>*`Dq)n: [WU49=@ {n=kDƊA%V^?Uk@5ӄΩea+R|qCA,Ra%Eo.ɝek`S fIH$ )Zxc^ =6U1D y?5[#5Ў>=lg>dDƊ/F#ʋ\aJ̧ pd%5XDA0c$u \ƈqԕCɖ%^VeEA9k77hk`h5U[cXὺ u୺. !5\ n! 4:K~MOd# @.S4jTnɷ!]68Tَ hIB*/rpQpIj  %IFUV 齏hC!Tr6qtƺ*['FKhF,k ' U7ߔğq,{ hԕ,p?{\@@bt{y>BצAhЈA*_p G7 1UX"cY{OefUJ$]*b˘ Tn%@X C2:ecX\ANh `I/A! 8Mse]S&p6EvA "2ps.GIM `S#9䧈Mq+FrFʉ5{+7 'O*˓:$.ï5NԞ yU -2xy ԓ_̋$]l:\J@9Wݚk}& :H?u}*YZHu,_mp|&2vO\u T %p;YUcԝu]v˳L$0h7m`!ZbbqU jy%Nzuf]C\kt@7= ,,fD=c GV_絮2yZXV׼yW]cC2 SòA.ok%hỦ#BضSXGozxY7eIds0:5:]C6v蹯Y*oZӾ"?)R^u2xjB5p%dV[unr AEi5֍OmOp f\;2ec_KIcHowv]nEqu*Q̻ C"nXNAf?arjG \g?QfY:t`~ }WXM-IkT}׼6uZ;t솓tZ(-/?}\[}[ZPx׿p#O3ð94@ӂWC@ QCzf$@׺-ݔH#Q[o.}|-ŏU5{ԑGUL4gu$H_lef7M&@Ӵu(`0&W-0IFOv8PV]@9'*;'']<^ˣ(#ՎEV4HonZ~J^n]#!S!{uubbѭ'Դ+&s@}<=sVku[?-dX#F%qY-{ݠD(eYw`Й.24i2kelˊL~*6[> ,v̒BfeK;*_RsmCAPȨuƒ\㣣X8ZӤF#&KI3gDk}J5j$DQFV5A;E 1;/ ԁќt_'@SAL: ᄸ<^oTs߶bIA+@}If$ߖw@:IZ 'Xt%m[Cm4t-Vƍ ,l[h3X!3 85`nK#G_h!B7f~-l<8ƭrs$3 y (6C]2FDDgYVpn X?CD1H :u@0Goحh$XP{8y &yqocdZSmPm`M;;+iο!2 28Uw)&N%T$@hbl;k&'ս*ݕ1:SqijMK5-N ߈w@#Ѽ=$IjKQ쁒j,&⇑Ԩk"y5Y6~S]ߺaﲭUɤt e+On;N9F镖5->4Sih=>V q;ZM-=XOYW@Y w1Jmح\A >}lΦʥ`I!ݼ3Hp./H}-o4L @m_r7HXcC@WAx\ )McAs;tR44,r4aѠAPdk=B:iiIZ- 2kǮkgBO%T-% iEi#my .yd+íKD`zkjeU?$2kLh5~]ۀLnc-F1Tռ+*c$l͡hṴjDiOD[xUkAo$B4O]v+׈ YhKN!G,FK}WQ.pBrjw۶LAPuXw&ZӚs$:1ԪE2%Z¹:H -Xd@ONh<ӽtS~U u ;+.5tlUm0'A f< ^"AwcI`id>[ ;SDJ\/H!eԁA-p  XdDF;8c=O u0FN[\ր4:y t7]pr33 scU\u)g^c^CyqJ _$ `J)i`b j&>k˧;2CKbz^='p tf.CI'kxbImuUgaN`ݴ>D.קR?Wi}Wi;\n{ .j6XDw5G. 浽*°$N )׾ۃ#ʉ.v:a<76[v[q~`6Wy8rI|h3nt >S$h5i0)s*å_M` a:  a3 PCYڟI-9[ù ʽvZ5:xMC@'%wmD,}n'mѝ]cOwN]Q@VHdBk0-Cmb{+71 *_^m3`U4tGH!Z}K ]b̯n!,*3✭BMj=s^a{LI'mؘnaǰy:Et@Υv8)9%$G\^ͭ@W?}h{/Fk-9٠3'@z#g;$h@] 1vXf qߺ:L ` :5>Snr`YĄQOiXKY/H4I[–XE ^K4BjtމӘlDzp2f #H28u3,Ƈ4cfC_s7LHVox"{}~}=I#A{L6֒쾦F:J޵a7cgNGŢ$Z8BB4J KQ]4A5#P4[#Eu&fWX!΍Ih5]Pe̓5tYHL2{Rp ۴9vޝXiH$i%2?ޝzZ8z>UD2QXӸۈ-k_56HuUM(2߿9վ߆&g4 TԪ2PHpd #LÎqÇdSc&! v1y)ntj-XO@l,a  HJ3; lEkB' R{[A4Vxatwaӕ0s` F :m뛡챺-](C"q$W' "I&o$Ȁ )ѤL ԙxNvA$q)%k,(.$ӕ{S}k 5m[#G`&:NF`xZMo 4oUȨzk )1]]<ʨݤ#\K$< b%& .@! 5G+Rv29 Px%jQy:xXxͱ@:먕Q']Bns %~[!T'I f]-lAUr%k[ Ke݆!buCO:<|>zv#CG.4O :i7EOw\,H#oIUWn;rDn톴05*M3m &\U3qLFBbmp,vK,,Rۜ$^uktihYyTn~ݤsBؒdD㶿avgjXz5%a: _ⴒ}#P1Bglt)]d7IynFSG5(lVxc`oqzm`}!TcXt##4)A$F9(~K&4 Ch7  VK-*qXXUPF Di*w]nǒ&xƅ= H I G.tG'Tsx+ܨx 4įGb@1eX&L|>kST+/)P9̥{[42S4 Q~1fC֓ hB1ȝmK'B+,ɃU|$CFjUӱ`hhWI*Vᚅ`pY0@0f#U~5H36^bQyq"?n[!qn]F4e{뽶Y{ZD7u>utuΟQ?c oN/`cA<X\ѡOAsM4n|FLqO晞v.s4@ÁT2]KI_T}'htaTɽ\HBQ˽ /c Ge $'c =Hnݭ6 rs^bt#̬y CuK14*Ptr  MsC<VQ5lp C}][P`l?v˾߷rt,zKd0OugS7BIt!hƖbtǶւ05rݤ2BbGDTQFO-TU)4\hYMV`@(9 mz7P8%hYcxUl^$yK+@!G#UvNFS0NPQ,2 gk~(laHiFhl Oaiq:*" *2ᯐAZ^ sϊbW Aݽ4$!(-B\L]eL Vݍh'*V v8U;-{gבǒsCDAZNa-P6ݯ&ԭlre+5׾u-0 pmw)$j֗ +.<es^ȍdt[0{N,4mӲy2Ԭ:sm,L'MUau̮^`dUy6'YC.܂t%x+]2ǖ wޤ85%[·K}>* :-QXfO쾞AX'Z(ai ;@9cq쮿Z֒DqH5K~̌zDdt  Ɗ7=g$㪐@#yRp8L8h{t^N$4T%++9(ap5w ǃh%M1Z 9Bc/̛Z59/E\ZT WZܷێ$8?FSZV [7*/%ǚr:0?84\" 5y\X;=; ,{&T0 _rآܻbǀ=anbt8zbaduˇeQho$+Lֲ cq6<_DYyH!$7L+w+3;djhNo%۲}T}$#DA=  [}4xoc櫹BJb`|R 'Q}>i4"8IT5&1<nq<7k[ \"9Ak&uLΙNp*fѠ*v\NY D8G"w7;cG-ICp'e7e8bFk5@$LXAxW H$iݑm-$Gve@iC⧌Ք5Y~.[C,lyy}68H֏Pv+N#oYid{'1g3<#]4f%ZCdN2Kj0ڣ<#EiR"ՒHq 7NZ|P#G ^2ԓ2xtN2$\FXy5Xx5cL+XWq-$ĕcuLaXZʻne6A{J6W*.uT v?l7ī.q:9̯l8Sb踔}avr#}qلT@u:iCԓu3?ܼshȬt]4T41; 2΋ae53ʹy=C lp+=*$t:V~ceDq%[XvP@$@w?{ Ƿw8L,=qF^;C#R!<X<C=3(N7A#vQSӳk8u-pɏ\ʽ;Jo~.ť>=&K“1ZLƝ&[ݯ<֖-Ou!~\j oާhtx"Ys*\睵>14bv)DutP'j\e#c@A "@0˄+$˵Ps%R%D&m-p=@<u_/"%g@d{|Lrds ⪐dpB<"0 H0)mW{ZwsOwB]4R Jj6 U` =)S1~ܕ0r ]gFͬ*d4B4-Qؘm{g[dS^hHu:QT_jh:5)ͣZU$R˻.or| J]aq ; }8ͣ!)gحїX4G*m&ѤMkY-#M%cj Qptpj iI*c7I=,Υe`G8U9t׬2A*6\ٰ̭s?Oe[FHXYv8/ȍ{PTDMcjkAy u@QceD%d+qkL6ap~*86cd5 EڻFE@npt*a當&Zt<20V9:i)NoBS6쫻\dgdd ;fDUe:jꖼ񮐴1e-iV鴶cMsel+uc-2\]TF궱Ztَ=bxW>, !ceiro΄2N%mXwus] '~t\w~HopzM8s0G?d u\糍5wS D5㸶 F?[D]5ћF=@A.'I/!h.ko]044n9{DVcEb68c;`% x]x}2 sXv$^MԁGB$55BW[`, t2A!+7 ,k]`)eջ2su~ Uu{{\ Z5 %=G6V;+qjϫ'& ĕR er */u\4p53:r>afP8#@V_RMs0k2{:$<F%4CXa"{/NL]] &ɬAO >\x$ǂf}kNtFK,l8{rlbNniEza5UG@RtΊLHWHX"yCC_ @Fi)WSX@w`ɒ$ҷF;ۨ3%ƚq:]u.v ]+.Z4&=<ݍ sf%{۱P$o6Eвh3> `x|OM{\^ZZv;Gx+ֱ.ЫVSNMdgJqZMng8 7k$&RCed$r5db]F(}diא $BvK RcѠ< 'ҝ>kU:v\f`F^9+gV@n I#'S%@4.T1]Y6=M:Ms C hT,p otzKF; }:*dǿulc15!೧uV{I2/uԱ`Z4(fValIVHꎗT4 .uUӏ!܀W-3zSw[*iZAcf}U6:#EZEo4Y +*x@E:88cf<Ѐ{] Xt=zbJ5Mʗ-jT 'ND4BM*c' GA0ҴXvR!Uh<$P}/yG{״ML:BI2_]S"$*x;$;pU?@@>z,"%@hNk.n6sɐ\Zκo@i*Vϳth=Ԑ*uNf {!Q:h4+C;2͆2x6aLlG. QJ&J;1BD؅]fwK gW#L8p*}\DxRbjN&:;BK+xXh<<2h<$ʿ鱞L! [ݫ*mlF{:g_We`mH' oT=bOO>wS'eweF'1`$ kZLU2Dޫ~wT]ʩwф<%g5~˩p @yZBW]ϒ΢^CH.t7 'XSCﰆ \Ge.8NJc0O]@'s^ 4ꧧ~- @TMu2s"\vەe2t4G!+Z뱞0XYS]kp@vREZA0\t15*(dBdx+8/p9RgGpAv*gn$p4) Dw@eg" aI#*&MZᮀaQU[jGf;-ё$xۓdCN hDRQ\Ix*97gP\ 꽍;(P*nwS5쳱:H vU2Y^WH) Ɩ\\xtV|˶\l%*0pHw?5,#,5w@XӵA^lmc#Id?op >ó5XcW_ML_8tOV=GjB4ӽ1q 9XD4@;)e5 ǀVF覻 wAp ަ2 u`ȍuG[1Xi' Wk%^F9I4Ӳ~1guyqa^ޥ|W3պ6{ #E^x$:d6#rivK@2 ]|cctgQV˹Ʋb4oNů;$6fGX% ˏI'Rte ZZ<ΣYyןT4${^x% ksyAdY$I2Or:{}Cu,{6ѺpL5[9=cz$8Xg7LUΡ։!>/Y9ɖ7]& }b4Б̤K:}';mĽK"ڰX4z%k{LI ^]o*Gk7ʿ]̠  2G沈`4. l]gB^oI~aLmVZ u.# SI|:-nݘ=6.'IY}Ӈk$k.䭮g2CΛ@Eanuub1 8v\􊍗9<%[GKXA>tXI ){x:#Ef^ϦYԩ]$Qqi5tteh96Oӎ)c$1/UmR w+3h-od?:ZN-:*iuORl2 -uΎm$#g2C+\f$-$[3kg}"~O>L:bcX]CWX{Ҟ1'Dkp~ێ +Kaګ Vw_,cZt5RUzZ~B>^gJce`+ɲ1tMrH'M4gRV9 <?†`8p%D@U6BaZRD|{`#`Paob0].jh{Es 8kh`{J@Hq.@Զ9x`p /\me$JtL0Ջ),*LJӍoXe,ǂ"ܻ%(u <|z#H<~S1MQ;>}өtCu[ǡȆTzFZUH0{/sy&LA!Z&RAE6fW& Ss@9S~M3ay LO%y4$Jգv +1F#E̶0O!tjbs pV,}T,Z6ct&Duiupk6Ahv[ y$;(yuЎ;}Ъul> q-q0@e.pp!!mUUI?fA/E&I F#,IԒ{di{4w++/hYAyEȝZY  wkĈ t]i%8~htFǤo5efLthǮ5c+.@l[ ΟrfK$'\IDW峋UƣYP%!$;1qulfXME5h2HȝKW* Uk6;Qkq djeM1:+W@t-X4kեM%y;]1:Bk۵#Pl51A4ΤJ-TW<|~ ;vdzd#"tQjV,&@Qm$;⭿C.pqDhQELé-w"m>-Ȑu ~ O2'(; }V9h"#;iXVYPcODl5YS/& D8nCI⺁i$u#BD (E){Xt5;֋KLǏe@N:P>j[4Iˎξ 7Ec@2$*b tII㲏*)p׉J#UN!LB\)F-0uO ÃnFisCs6iC[ U=6X=p<`ZO# RdNj204bI$@:/-B@k Lav|3d!j ݖgU`[EΠ $xH1}z@ G~&%Ai;.c96Z40z: WOkh:O<=gTceUk ˻ e/ CG N֏В+ahX2o#q'ĭmչā)^;ZVF>Ohд61D%p?#.!B{IiZFvAgNv "DW;о 3FRDu&dU5ӄ^zIݴHdkG{IT3BA!WcAx Te,dG89a8tAJ;0KtδIL|{yNX̭άɑ%:̌KIՄwwR`hTm鸙 5]D-mͮt-čyZOVH/S *&X?UW0 6,[#T7Y^ o tnm@Hl8w^@# 'ԷX`nl䆊B9 N`6ݕ pS;ϩfP|4Nt-A ),)+Sk@;@;'Ps0/[ʹ`pWQ] 5.{(!;?dO^-)UK]?A-@ 8*XD@3%ETeHTyGYPTu .J,OHV`${ j,wǺ,G@nK㉟D6{DJ:B-1At4DƝQ.O GQ%DϐJrmxm L:$.6LѬxʠ@+A8)=+ERݬ'Al=v 7RkK9%A\XaS[Hn<α#f<NYnN8Ԃܻk'|^m#.Ƞos2u !$x:GҠMt1@Dpii)X%Ƕ.v|Um~Ξe!eY IWz:4afU^IX4-,ʾ.}6̉}0@f&E\ x+8]@2X'@th=*8#O'8CO/@iQ\Pi\u}8[.hC]SyÆ_q,D|tY zZT$9?*ҽG I: ىmRy NDn3d+֮'SQ%@'D81arU{$ꖞfHId.fp<%[tF %0:b zB}լ>Ȉ}Ѝ"C\tҝ- vjk[T:"龫D<K kaV7z鵰\dQq vr9W:$pVuT_7OOlɹ|Un0-gLɩ5H'~;tS xtڛ ADZG3Bɮ:9ͤUR Mu-gLO!]꤇2H瑱UV7`u2xV1\;Oew6}0үL5Qƺ]8-(wUuk@ Ӧ beWcaΒO'B>(qDUezA~-w8rVUC4͞BuD $* CD)XˤDBGeNeǰYR#RD`H&Q_5#ǚrUӺ@ƠjIQFH$(*ZA4> !@:$:yg F`9sɞy>)  V:?t6`<[Գ]>GQz;*='_2[<,鸼Iw9MkɽA'ۙCg $MT\NՌ> ;'@Z[94͍߸\glLsyq;x O}dF/|4o{?<9^mqZ];vi:yD d;P%'0݌;[ӮmVGpc|Xv#ϽJ>6%1( n=K`y4- \}'+3)CL=esZ/-.b[SO=+_WHFm>4vʍTc?]pqt7!V jw+hͫcD=2,kf\I> ì16c[1o///m|z qO*T6\ hbc\R: ـVM۱\?_CI0of}l?4.Kٕm~tJQ+2H;}`m='VD ϬTcV`G.LZ08oddfWZ˾ɱTmRFI 85g!Ѫ揄uUFCAx'YW[[+}QPaL Tc3G_Qi;4A p|\?&Ȏ|әU';Ce5k!rm򧴲'CR =Qc5|E=!9B@a#^Ӵ>:=R.;³GR!ռ'Vx8H2z@dtQ9v %vLlD; 'X UǴۯXZCdwVtUCC+ω¯~uL"eA9e‘]Kmas_ ݱv֎#;8@Aqԥ(κƐZuI04 \a/w:\$J6V'K}ka:%lՏUlLʽr7GDumy%ǞgU=0laI?}:e&CL4ĥZtߎHU8\ =)Kup{nX1QevL nXO`SDŽ- 5%++>SӶGg;`$tYyTj$2E˱Úb ~nNOE>C <莪wQE6pe|y[4], c@kO#BUNQ4KE8A S+KT״ ft\\5T\ YٝT4lˉ3"c >%F̼ E@is FNg?T'\^D0I;<ƵAZ !7]ţ ?7ΎcKIYy`:trQ4]n;>077kq'@ !nM-H#;=<QE`lWKHhD|fP5 Az0G 2q_V-LK+ A,x ]'EGQ6ފs}-.켋 ޝ. s5DOɬ5 w ǧꤸ51jZ XYTvs٣%st#9LHZ Ԫy>GЙWK֎IefuPU'Lމ++p "t)YcaD7RNI{$Gw#԰jI,1:RʨtG'Zacbu}cfC*Ѡ*w72Sf?4Pq̷ҦO5 AZՂ׷n>w voM»ѳGDqAw8?k ?bYc, ѧWݐi3B[έ1*![f OH %Sk^cKQ5A>iKđD‹VL dI32acI"y)}\L3Y*^n}FMg+#k-h{Hk_Ԩ15'A#m\Z2vZ}U{zS}u(buMR`:4]NCc\A&ynh_U:-$J-a-;#6ja+.++up_NCA4sfjcݤo4HSd7sq /G8]cZt9515@k;AxGUNLkl >#[U@D;kvh³oKzlel#vk˼9ik_ku?~ұ쭤Z@y<ȴv7ޏM x_t osib@'k5q|IMe,=Gmq+G&6cZ֒ I-L? /0Pžld YǺLD3!EjI:6>2׻sd̪]/ W`jSsvT-HyŒxſQR~\RV9ŭ% H.׌}:-IW<Ϊ{zg ;ƺ+w< q:!>[RfCA ʻ!ϛH@{8dVNFoJCA+plc-t#T "7q'R/M:8V㩭L &A29ԩYhJeMcT))'>Ɓopt atJNY35& [`{nE4!ev$q8:՘ qӲaa(p{f0Ę2U f4mʓLA!Q'7-pCꈃU<{ɀLL8m H/T'䁼5(1xkDOpT0. Dʶ[eonyM|Be45 hdayl\U MAtxU^\W 1%401{НBϩ ,ֹtLsn63n8٣LnmJΠTp졏v""e =dSM2Amq:l4I)YQJKE +5[xDAR/K'I /mN.v,ֱj~dHPg^3v@jSߍU͇O6054Ν'K`E-0<*z =G%\4eWQI8O/;֝A,"`ѧrsF)Q O^0H n={eDJ+Dx- ATRfYdQ,]$$Jtax+/wLX6rzEwP%qu'Y˄IV&s^ְXB^U^1jh:5֐y&I*m!OuPn+h4!T2w~F΋G?QXs.y{smĸ< 62FH "wKߗaX{ӳ챾y&Ayޡ[e:B< K|KK#p?]П˯ \8[N>UdL0muF ξScI4Ho+98}ӡ dz`tO$S#up4 )o1+e3_mDL2qƵZ!0B g^T顄Xh\{NE@J{-0NuU.wNA.zw~)t7BG{$?R8qx^ܛq,,x"ܢuL:>4Q,2pc3؟e{kZL#PF]w5y<ezvm~$إ+YV^[W"܁Ϻy+:1{f璴򲪭[:4,^ f/GWĢq- >J$jps7tf8%(ϺџG^-.4+?cq$~5nicHO !=$< G)4$'U0|H0prO%%KxW0zn]Fl1F84uM6|߭kx i<,GnCZ7Xj}=0nwP,98o .?WrZ ˉUL䲿kgP8,/=wAMeV +eo"DXlȱ%uV־Q2Diͪ3ZѤ WA@6ELG$L\Zq %#ٿ !DKkXt4jW3жF3a2wW3Mp$>JD>sn 4 ;;] ΦAl4y!_ã#k,mC[ v+nD1%VދϳgHsïI=ƧwWΑbf~{HAoSM7lPrKsDn}@YYoO5ǯaw3fK˧qJ^gSJ'NSI,I qmDt63s%tN;nѡ!HH?W%A"W]f $t!`}`}=7$7" d]i/2T~2*Y-ndpy F\ZK]pl<\9*Kokv8 cy*Gvo]`DŽM1`q~O'ⲾulJhTL⹏?.-ovWucWEnm 6>5'E\'R~cgGA&uMF룒K)ȼoKg@;SpoG΋,l$hԪqn~X l{\䇋вcG> E.8MTX65X0pdƺς(TR ĸ菹 89n[ :z($pb'+@ p;~EҺld |T:Zd5+i 31zI-x ]rb"uwVFc[mq Iwdvt{; f;=%$-:'Y?ڝkြ0gNhenkH%bïsIY[U[z}drA@4h)S ֓#{Dƅ7Tku6XDB׺p aafd"t+ Nx)Lx XqeAیPp A`+8c"N'B*6fyb#SOU q0Jf~Ɖ'òd;^!otakB^o _?#F h;OcXR4*ntԩ5ݸsH$G!9ܸa<"糬ۜ1)x!ͪI"8VFneH$ h@$㕫^"'Etx=)ם1VcvWX @H $jrrszqoHk>]Ըv J߰ > ;"dI\z7c26gXYyFDCZqjѹܗJ& !:7+cEI~0B4c݉v3i+YuZk[Y% ƗM@Tr<9ϲHN"UO`%ItY^l:.tJX$']P}mP#I0l,y<&C},p@mugPa'leW_G#YY㦻,V$d#tm\4\6rGF6u&]}ukxV-0i.K{>4wmbu ND sO!s{?i%KYVk<u]/Ke㣃)*KH2&<&5Ƌ$$oT5VH_k\ Obh1!WX1h#z1oI:+({fGut߬ٷ+S UKӰzsd]Qca }Gu ZQ&F1qd> }!J:"7l >hXY;l~/~RYǷgQO#~ֵII]u[9$pq:(af{4>v>GtPGiv)$V>AyuNm 1F8g(Ë`4he`n_]F X<=9ZGbnsh`vQS^w)t_X ͽX42}v e=ԛ\Gpy{5Ecc]J{V(kCp5\Ru'4'CJnɥGB1wƉخFJY}y{+h#jD2Xrrݩ:4rSnʍ/F0GY+h8-ƇzY9N;&Sb\_O'K+,a$|E~ m60lI<s$}mxww?]1٪.w6ܜek{Gd BSԭ[:5m^m̫emre;^wLzmOvBH%X%Bi{d+8AV:Gc4`Ƌ+"̃i<gv;1;;WuI|.%0H2@k$T:S˧cGscK'.z<{[AߏK4Y;1:c1:3@$< ՙUfdu'wv6AasWCV72`‚Gx]/79S[\h OuO-=ơnW(WmDrN,WsL.,a#@(w2NLac )v8瞧B@V=[5ⷺ]Ǻ;D,]ڟEsAFvV`Hr Mp]c#ߤk8衰#T8ƛ=wH[F#AHo Hs:pgOxGpPUз#YK:my1k#KG#8yTD7F]ӫnmZ44x=JW=2͔K@;k( @mG&U,* Zip h"** zF8k<~]#I:vu5v09B %$m{u j&Ouʫ&OZ\*OhKT[{/ې\a4wMӮ6dX>9aƍ!)CaA.W;# 4Μ.QB L{&G)USh[-'u V^50s;lGDg)%EVV_%%,|OrQ~; D֍v 4ToHƭy~WOZL{|@@'YĈ׶!T2|oP-.DgeuF IAvk jts:GXN&Q0qicJUUF >)! :F=lt.}0$ⶈ죱IԐe}^{(|Gi}GlfF|V@xxŢLޮ=\QM6{[.wtie @RjBF8UUf=@u=«a@kA0m/5]c>*sH py?@H$$4n˜DLZ\4 H}tߐ$[,pt4H]ECFj 7պu]Ff`p | 47*FKIZZ5C8#C=6oez ;uY8TUg@) [gfgX%юxyЫ7-,{堏q/#1GUURK* w"DFʢVwLΪN[\dsh&$3IN%ps L*wH 5::CxSbAGѾlsjɒ Oe7,^xyP:gZ ƍT;))"U[\sw>H>KF[xpӬվ9ucugW4k (Ǩyr}V icXHW1^5Sa0quw.;7 BDzlȪ̈́ӲA&u-Xu}Y+2xPRf@yuM4z[p h> #PH~e;W[xR򺒢y]IFI(}JmdD% /2  +k WĞ G"PFJH"aIi NS1#Wy3[Iw2VVx==)>>(zW4VYa={#5Ņō8X1.9:uoA}YWM§'Bx J` Z IrpܗlkN:@ ,vh1hcໃKnt] ԰]@0c92K痗N>AD8 ?gu3qv`p68.v4ce- tzO=Oғ vY2ku& Ǚ&L $Q=LN(V^\L@잶k.]'0.3 ;t10Ot|U:{k yt \`}Ȍ0w#۳v~XMSQ~>]@n +UuPuNJpY|;(Z^ϺFIX8UzgCiQL5KKāʳg[ q%+B֗%Ϲ3O๾ֲm%4 ݕaǗ'@Ybg& XryucuTHINۗe $'258ID<2J>*$So?# %HZSK@&)$.=ƅ+>(q(&ִ1 @ĕ 'ecЀ{ 4C~UA jbqD(!׉z8O2A4{,IiۺH#$Jl5A8$@ 1Kuӄ6@dJzi.BA:6\KwU;~R!Neo2|{+Bxc:M@K!Ѝ-0zLLֵU&; }Rpj0z F^>8:8 ς, Xd1m5'(pVQbt˲usΒ}o=k1p#sePyDM.Xw4^X!Sz[*Ǻ,Aq!݊k~Hmcϟ$F1JQsX$x:)kADk>KJm`xÁsVQn+Äث]HFwAZUV#+?Qoۄ؏$N:;I$A$'Mr,PȳI{iQQ Wiyc@D&K!dc8>|>mMw .nI{4ӣS]tK77{XϺ~qܣbc:֓ '`DE&ϒ_U]=\3ugL~WEUn+ЖnD8^ pԅ{#ȥHTqD8Ys- Ht){$EVi$5Y*߼dܰNNQiVVk`.?2C?=̈́#  J^#B╹%.@A6FQ[c\y8E o;ݨ:YC 0b {ѐ 𳪽rNC3QH"z24.xVHkNpT ̞4OEOnA%$Qi#⥩hPM 'MčADRMyʿm.u#vC/;\lH8q_Tz#QtH_[p+oEmuhoאk/4d ;L  p> $}.^k~}}҆ s=ZWpml1XAAT =RvK<&=cc!'LJqPF#!ko{kre;.vH2;4_@v?OԍDnH._}ZsJ.BՆo0w.N,mB 6 E]McgRs vG.ODzyUzsK6 &}NsٌqqhY]?Rg\MlH:oGwTd7ZlP]nEm@:!}anwsO4UwgccPMםx]nI)wY|Gt o)'$Au1~Ct`~ǃF;vc_Ty>I!./05'SXL*q/s'jHtjIW:G۳lklV <t=P'h Oeu)~1̲W+7!qGu~~s$mď5w.qn%ET˧r*x/;CH+~M(gc[paO`*E:; i2GSL[H\Dm A7R{OS'ULd$B'|Y{;^$%Q;&;)tRmI&J u)6gm MΠ}&PD%u8dGpqF'5ĥX`?Ř`I'DdTs[$C,rfl"yHt"Z9ʱ`}1K0Zv0Ih$h ЅotLs*##$I,{u O A 2wĦ^pt}°]teDnbg 7j@5kO8Snn/;H!Uc @*mkIGdEo$O.:b3G6EAk##Atgz+藕/sIAqH[p$$P u{9?tp$D#ѳiw tG1lwfgt C meUsD%xx\#͑wRʹE; pkʷC?5ty qja`fvj^x[xT0{KNT㷢11"@U~%H ˥ <kиrB_:\蝧lռB-GuPGq⓺g] "vUQ{*Q+mȳqOO#%|K%usM;'E[/ #Ѯk{}] ޥpXyJų;TLq,`̎H :K4h@檷2w4huKd:kX~,AKK $^Hvsft>ǁgh*S:mm}n|>kif-AiPizXɘ*=[WG wCvӣx= t!3UT-pN|VwWh=V&_MR-^~Mch0F,,32V{.λ @<eHt'ja>Іxջr26et(ü ]h;:@{Ҵy"$x$ opEZ*ǓXąR-NpE .gA$WS&cy PƢt%Hx8E6 4WMz.Mmܗ&U7+Q4NN8lSÚtTzf^{,5·:u ,v4tgZ'C2$^{iy}6zV4H:O p;.tNCl7qOe˚]\FbMS5 ?"KW"2kpl{xڲ4qxbyX"B~^KO+As?HcZ.zGnk泉$ i~uXA/$9>Ma@N ʶeuo`%sXc·B.#A&APtp>:Ȥ`anu!C4S RPG{.u وRà#\^e.ۉ*4#a]kjf@$vBQ:0-R%𺮉X{oʉjtӪ6]\y*[YC d!dYgԺ/Mb\Hu8 d&LgknCRQjs]3+5xɐ'Ն0 mgcCk4Ps'πkngqA6J}a/~ʃeŵ#]>7ӌ@q{){sq\2][F5v@uNvx4V#zabovT~Zla]Mq$ u~uUS >A*E& ,qX+Oé vu ǐ N^Q kO?rYԱʃT2U>Z:~#1u'I /gq0D^  R7.͗nU=mέnqI<長:$?$ǝ9GǢ۝ ا Z# k! += ' MSXnErfuqcXuZxXCms݅5gN2 x-4]{~F.53`׺w#溜>ayƠy'+t V&2vcxֶ$mAe ,g(ݬ:8JfqW ֺ= =6q.-òkbardZLI:J&I<1Jd9Vz'T$5IPiH%-s5Mp{%uY˶g!}2?wL5)H1!=k)LA@{dNO`9))66%kywVdr:Ap9^ Zy:-]_.4]ƀOky:5>[\6o 'AZ}`DZCL:ŻdJޙY՚ dd藷bFwXQsi^a ֖ Gpk/']5XĩޱI&"̬^t-2 SoP,`imVtx IY 䣋ԝݣN Ī9Fv1UK3YsK+ny!g2tOġ}J-W{yF6l s$ҨX7YH&|9* LqmdIYy85T,| H { \;S3te[a _ 璯tN׹lDBUAkfٕw$K@췺gBk^̝²ix:?AֺX +?14IGkO+{_}=7uPl Ė Pr2kasݴrRW ƼC4 '"2tT[i3z4Hi2|z60O*/IutnVLe- >%/r1O2u` 5[8=Ca#^BF.-d~5ĝ2j|@c/0u> 4{Q{}{q_ izX׎.0>ѱzusH_Ԭ.,QC=s#Yp/'{΍TENN t#@W7k2e<~+u%$5UTrE$v@ l zmmt[cKr f ϛ,{I`D=6yo9r>φѓF~u»qjBU M:'RϤXm-+>*K:e_S+a=P-=EHkOYvћa&:a_Q=3$:]9Wk]"5Ԃq;}4@ {{{G& v7Qjజ'R5[9;%2!F@ AiXe(#Gb\m` >!ku ~f ,K]N3 p)<Ƿ( 0R> BDFJl|ix}o,wb8}gmNWä7P3UC88yճԉutk!܅к۫+{TmZDC( hZI*M[3ٸ_ •_Sk2t BNFGyzNZuK'tHA + ~^Fs8H޷cõrlq>=ϊ,"扐C!g|R:LIOUԃ0SIB'7To @VM{6ccՍ8kI ZH``U9l1X AIZW+4M]|G0[[FA._h21(.[¶Dy,QDav^v5mVmxe6h߳` XgS̫wBIKcSDL6=:Lp3-7n|v" Lt ZG݇Y<"?]˳.@ V: [!Vs[w:ʅ{*&=-bD.H:ck cUԌ\dAU[G+uf9'lpC+z=/p#BǩE z;?iis Ϭ H˦㶪a!)d5gt:K9*oS(C\gDo7#~CkFW:EsMsI Gdf\^&DT\ԓ'abI3SiwZp5qgǧLm /24?Pȳр1FHDĨ%oRJuy)04wB$M䊘64^%A/D!b1~2 d~7&IFi/Ӫeڏ7g4A,?~c`Pw;)hA*`kX2u,9 mq`w()bŲ :)i'Q)|–S@g5@gbOet- @-ñ$i+G?V_`N+ZAuf֍9?}H0vzj[ yIX[du"`545$%]ߤưWK6 aZ}W2xΑ2p1SJkT [ =‹6BED`ͣ5eۍM $4.Ceo.`;yPb|=3՜ƀ!TzEnCM4:x12iaڕj9ٯ(x.sV 1+"pƶ7ëex?H9Ap 'ʘ5gtr$H+F#BQӖ5z#y,ꈰ I=>Z*")}4T]W=UΚ3Bɲ^e:s@,#,c\u A2*az}ƴDmY98VghG D=,Zh1!^=',c7@Ij;c^t@Q6vչp.GU{)wAcYckD~C*5¶.h:TIoJ]R;e`:o]#1}I_ufnOrNy/OK LYSϳF3ߎحu\wW.@H4m9dE$Y2mwD1)Ac}r uP՞AT$@ZUU FȽS$s,į`=*MS!Ѡ Pͯq ]]8o;H _t'䣜j]^ Eum#]Nߊ38@PORRH]"@E$ kTV]ʱՇGq̵kƃ/'Cᶩ/@u>7IIPԵ2>\s~5ľ$sggtN|R?jXD>UaE0ӬA=wѶ:;H>?rEc=K]Dz^gH?&D8[WJ4c(9'XX v\R:*'7T~[MT~ ~EI"fy% M|%D3'Am!F[ {EL%T5u6Xls7]DT k˳. nĞαg3^WsG#m~OIgXEIV7Kx!ànu|$`-Nvs8ݴi>+.kcvAVg / yS:9: >&M}Q'qAMgEtp'i ɹ]ckmUV$AG7:pgZ(Ǩh8"dY$X 9TIpЈ1<:55NKFSZ`fo:0ol |4R魱}$B`5^u$i!N_K;+{HL<\pgO5;zd4Ց)-ZƆ&uMBn[qzl@/]${W{c]g5Y72C;Ot~䈵nq{t.Ou)όC[56UvaV.7 y˜8b+R[6 $]Ki2Z7cV 9'hϲXs=T}o^P=wl N>+?Y_{M8f%@DR97E[s\32y'Q/$ $htPq$4`xTYc`@$hi)Bm.ah,g?"bI:HDŽ#SLcDccG&DHeՔ\OQ滮krj,ql@O" q`hc1(?qq:;l?~8x`a@Sfu)Iz"5񔔻L$DQ4Z8 (i )S@HCLd 4EFRIFP%Qf['P[Ph?VΦjkh{4peA!%[a%hV=GˈgOkf0m2D,q+ۘôH^X7>=۴]XA"Hp,̞{^YM@&CxCҕ\:۰i4TÏ[u;za;O]Y50ֆh:=<魥A ~mt;|JVUG5ㅻV#ҭ=ɓ.]@gYWI( ` }ƵmW@FJC[לw{$I ݶZʋBI(eAyWSc =HЃD½2ec > Ey%<NRJrѮ}X&DhxzFmMhUuÛ]gU i>G1@vֶ@:߽ZssidhtO3kCqGzʻkuRG*hnOH9Wvt;I* nƲc_,<eQe-v=!I=Γƺ(>!ѽi-DGQE'O*VSk i:IP$v(̰;Q d݅[N #g]^cm&4 <"$C_7'%EGtJH +g'NENaP9)C[u &A{C=G^UV;@ 04<vH2dɄ̀cHujTHc2_Sk6{H|V{NV@Ơ R京:G5vl;/$Vf>yn+!W\}[qyԃXp}L{H4)K*[핝ޭtgeY&Iv$X* 2qkY+!<d]u#uO_yiƠch:#v;]m.̝ۡaؘjK#SCTk |I'@ dʱkl,/h2daw] T}Bs Pd|:|D6 kZtS s4m1:^&(t/<88=:!ݴB ƀOLK.[LA wݳ-Vͮ ΣDRL &:j> f ƫ{k 8xHF'TV3Wo>L|.n-ϲ#H<+uWo(n'Oul:]Sizt6^Өh[25Upph,'-۱)ِHy=Xo.a"%*یU:- `]P$rYTumZ4eVGU/X֒N*wdXUlh:?R _S{$rX.`fCd1 $E'ѵݡ=\n;G{_kEK;%e,^dV OscYX>; J!~we^fuP"4GQs 2T]Y*m4w['tHX4S H4A= @RBlvN*LyxHh鋁E ! S]l/~rJ1K}u^v5Y87IDSn@W.yH$IE֘k< $pcKv"5+͔Q,ɶ&dPg@Eut2nCl7%CXEb< O%Ҿ 4"HB4dfLw'6ej~ ~QƸS%.emca9֗ kt7m!(sAYv`uUID잨KS3 HYn^kPFIWuxh}GB'ML!ra @\{u2txjeM:YT& 7:غ@ f]amǴScK@e#|b-q$ɞS^:[#^u#$N-cTLOyLNI)Z'FǶVd鹗W ')c> 4sOlU;=+}y#hc }S2RW;NHuҚunq$_uu\~sKiqN%21 emtQ=s8pks^D89V?UF6{ѓ aweauvNxZ8xKIlp`\%Ha2x`;=F%w4FA+7h2#c` >MNibGh{)h$וhh.`HGu}m0E{rKe99XAARZG '}a݉UApSD$q8:;.?k;Ԁz_DPyAsy'V}qKC@ޝhZqlK,5ys3.nBAn\q+s NB.ΥVe~ 4ĸ5 jʷCĹy HD :4>Ih2?[=/]vf;\ \e:=}H]#RR I{O:(%)2?-Iכk\u'A _GvcI[}ӚYU?Z&\wdXncS>e8 Gy5"}Ò4:$Wew{*5>p7%bKgM&8j%NʬX4 =:wRkG:(A: I IZ8}"}>i@nChI஋V4~;}U:oRZv'ڏ~cg5:BV~a%E[lq$I!L@eyKL;Iw"r,k##U.Լ<p]XF5'@> ȶ˭6˝)J| %WYT@kI'WIYx]CT!BG&gj@4Iw[cΓC+R\y%TXecHor^dQjuKfSˀ@Y﬙1 C}.2cc2%@ _lch&BnQ+;_ F4f  "u'8c4'@Vt8-$>oLf;EwqTq48rl`-%\H|j!f_p\I<ֶFf3C/Kr ٶ`ƈҰ6cWP^ut?:]H#GA.#3?$ok:[Jt"}zAy#,2 7HKli xZt RYc-I1)1v5>J%I2niA JhIG:8c w\W՗N9hunnsqZ4, O04a2$Lr&d)&41OM{9xdhXZBx rfgPe@)u<$ęM$|B<;In-m"5Wpcp`<">(AڎVOԆn%I@趑4덻 W8čddj@ ?f;q2*3- Ǯ G+Y[X!5Lr| );$uq>$Un{8ApuP⶝#H,0,l&I:Nj&Y,Sk Oem#G;@>k~NJi̷Ա+?X*ï,rX^:SD-%z+'!0$&S8\ ' @|RBe2Lp:GdCOh@wY2 حă _6R= RC/n = ]@WYu c~*Cfy$bdhU֞ƀ~p;Tzu4 .~{n0jyeDhѩVMn;k7@,ZbweM책H<2 RRX+mhv6q[ O:n:4rTKt뱜  $rB7Su WR<6>V :yY>FPbt]-l65qU-eǺ2}m`"Gr Vs;cCܧH<W27vs@5ӿ}A<~k33&@!`dxI$S (-}ulOrE/I > []3&"FSP\NE0{Oe@{H~'kZZ> <0tZSԮ;/$r1En-A=.+/i NsG5c67IS& tA*HKdCZպ\{P4Q:;طdJd*Yy :\?(-C64"Gi $Jb*؆Q0;=$gϳ ,ռǂ$,yDNy/b>G#D{&.;rӞJJܙDŽip&i""*s4w'@&>"@/yj̡8i"St= l\vk8W1} \@Ue\Xesϯn0GUYBiU9v  U`ۆIlr,l9:'vC_c \Oˮ;@$j{L,nY5ӡ|BM4iaZGbI'6̲/q{W;RUg$5Luj_q%!` sJVp-cuM2Z;UYRt!=G tl-+:Ǔ .9ze1+/<=%:=:V$4VWE^ok\ݺr)uH {0l]t6[l<6\ 4av,}[G'{/K(6d+;e@a4u^KݶNv+qy&QQ(q%ۉ:v.ѩ(b_p˜`a⻮ktl/e < L_;!DB 'ՕeZI|Ch5(``$qLt ?;, ԝG(4T'VO0> * %]µR{'-v3pJ+{#B์ L2KDU߭Y-a1qS}nN}bf~>hy` s.ԓ$ăe B&00gWKb7 aLjIS`. OvQ1 pO 'حL.eV6m}@u0 &1'g"&JqUT6i'MJҪ5 )#f@W9: u&uұOt"2u)\ 1_b$'m,~mh"@Q;M5Y=~Υn'Xulښ7$5,d$giS~9mo*K;Ǒ@Lm;,Vpbq^P³[ɓI!脹W8y!WXɨ P8xߚ0X={;H-s5[";]V333/ʴsNBI2I(O$x .Lʹ_Ps+#ġ+赆~;hiઢ]ct(PP]Ry:wSs:DG>Mw6Z k=Ձ[\9T4[^1E>*ukRxGf8RS 5S%{HyXu.phhY2.wK62 v\- 9/qIp? 9/qǔR4c$.q sF<Z+kq0@A >Q@()svAS{"nTc@cҮá3Wl9DA]@pЍ$rq$SҜl&Kx[6_FbCu3"wЭ=âλߤiѱ C#(6?L4*iNl2M_-׆TKeU ܑ Ǘ )e'Eա+i- Ƕ8wh^9]3]_c\mieH> ?Y{E9>׍$ zO4֋Hh_0uprp$VR2^CZ AvC`JIAQ<LA[?AII0'KGuN-wXI9M"k$fd[35Ot$Or tzHn=N$I~nq'@8 phDiIh[k嶸t;[V` qRf AԃʯNEv`ǔ=7OA8 vZع.(y41ڎtHLvrT$ 0gNSY`c[$ s2'CF[\d4x.{)CցߕItl u$lI@tӺq;ƐtW&a$;(΁#Yz"L jG*.+gg8!19h&x `*{wPB9N5o}<5Wו[Kr+ponۛX]k"+0vM6[}dAMCK29 AU{@8usdGM'e^׹`(i1' |S4On4rB0z4nw&> O^&@:(6ۻ7 ]k|g}fE` HbcI{f6sFUwy :%Mډ%,~n4{x{ywGoT$5< Q hOqpϖMt{#vV^t$!IƓ2*qB:y{'i u>M5^ 1p9MQU;jq<+4aƪ T$26(ONE y+2 55uI4v~V8 жk X> J˾IJ+1;c+I@R5D٧T-M-8huNRѩGa P%&8KBC@8h'Zq $|C ߐIqp-um~HpխU PdZETtFj~d> 97ZCk.<=8X7a29oIuQ 1:. A+W7_;hQu}$xxY&gWHxعvƒIGk>,;k@[WU Sv)n+`۹hmYI{ԴOWĮky)Jhu.FrTYA2k-`8*݌jq0OX܋HSetntqGӌw*shWXe <P2-KH{Z%eta:|([K,pS=4罐`5hy]K]m| c*rc@a-'&,]nYyb4;$mq #Nk`tv 2t q:nWb*WEk~O[ZS#svƅ3?E\g~[x{qQ錺X"tXn;<󪒣x6MLϊꮸҹ"O$*o"t`trN $#GRXh[<ۤ-(:kú!$!,+yKhFQ]>+'4 XYv>GuY ɨVDj A:y n YI&'[Xk] YX8:8 WU'FuJdDYV<~[pQV+IGhm RQstO1f5n&-7l{ H JYkaDV512kӝ+!" i h$'[$VVH@/~p lWQa.iy W|1;\!VHXBɭ̱ѩ`y[Wא'Z+ zoYf.+2O$O2pՕҾ4Uq!wt.aSHp-#EPWuߩdak:%ebd2ZYcI Z2)<3);?p_Iʓ'G/-lcDٵ6{G(Ya!tDn85woAӺA"=s~וY Y;>KvM?tùӲvg]jOpXKUieud ׏jPK}Ȑ?KuA|=!B ЮxaS|%z$!;p=T}W0:fV~@aNG+]70}$X"IA>W*9}@V:ieCm#B*59(6wN\4Y]eK_&@=}8 Т[X7O+$ID\u"rTZ ̥eq#4Nt'BDHuX*3-VFq񇫒GXI 1ubd%7>c J2-.qq<8a!9&gSϚ[!0G:Sh8%))p$QK|Ri1(Q$;4"GQ` Olmc xmtFrN|Sg1StX]vF5>扐WW E!RC%|tqy'9-ķkIkpօ#c,vluEohcuF~Q%V8`0nF@kӔ#dIj[ak{JȣkfNam,qԕ Zn6g]8\ޟcRVOetc흠zuBp*/F@gfV6+LU,},5#EB/>]&gĔd 2FU`e9 $Fo`ʱ}lHUAS`4M<]a~!mcdo.|. vtx.22|FMB6z ICɣ0G VK9쩒Fg]}׻x%Ѫlph[Fk;{RlElq1xu@N#H1XÛhVPmhs<HbNFop(VNͯ'fA3\vܬ::࡛Kv7ߖNʿ5mV$KgY,[i gߙ`h}:h <*1nHebTKٍAsHJԍ^ȭ5'agt*;i: b,m= IƁsXe܇j` >L8MtszxýX>k+2ܛm%ӺM4R Hpת5.[0 htUrh D}piGgf6g;{X0?eⲭfA'Nc#^8s_)r}CkIt+| s_C {/)~6E<˫qvR](uCʟN>GqAI0 _S:1kQAZ4o@r%^3Hi|Wsu_\A.?}YuN:J^ ' 4ylrIsL>?Mȹ=H'En/@aZl4,:EFV/ĴL Hku6<8P{g't Ļ-r wAH ^%BUK=K uGVnْVpMaFHEXO3ެtɱec]{|jVjOrf1:8pF}Ep{_[l{dg4oj{xcIΝ#I{ :ȿÚiէD{E}t`އD73S,q}:ʭȴUKKO+wS%I).8(_ ʯ]aO޺`{*XU_v|1fxXà;Y\E=0==_"" = udL++de7:*+^ $خ^V ?D .H݇O2Njqa7 Y 77l84xwFuaNJh5D֏$KڞL2zz"#Xk^:?EX>4ro@8؍.*d$,$[#_1kd#@~m[۩:y('u;YS |- wZXu<*sׄ2Xց.Qg}~ WC~ƍ[[QZZnlaBڨqsAG E=Ll() v~귊]k'VO_q[kD4pޞΝȣ'Us &o5Ceu.ep[;uh2+}_2\f2b>cKEmiBZZgYlq#ǟm<,[ /S]NSpwe~ӄ=\հ$e`t]ԡP zc C_lnMg56h&;N9s)?"ՇJ>^ؙnƹ.<-b5Qp9*u 8usz~?1kk" =aUck.~.ۥ[.f Bv:->:nH蘚{|Z1۵~}Jv5iY8=Pg6iΉiac[psZtw:[+gOcC 6ugct:Fn Z숒5%P7CTVH=PlQn[h!j óF(_Pi0| v5$vF@kk{sZ_ kJ@sNʝԝ{$>Tւ5P$ēHЏ!{CQ]C}U8=Am_=;1b4? Y{o˴qJs;ېETF5hGhJMljFbR;'B*^@NqʌJ?`4s+;eE F^ %lkq10<.Gq[-L5hI]qX7[ ,<ޝe/^p;>837,x z> )4kJVn!f@mN!}3PGI4yR +ی<"`/qĭ^H p +n` ;ASE#a<*Ghy[ƷV}i)4)vN Pc?P:= & 8%uIO}WSH{7!9cZD4@̻-<}w ِlg&XYގ[HܭNF>\ƹ'gH -c}ǿg@9ECт״ G`btDGuvls$ʫ8HuIhrOeպ(iz"K7{+pvSfIǎR$3.7~XmSбL<s%S7S4y)HJV}@VG0'ϚIb0Or`(2c Vـ;xVE4n먖c=Ųo'8D= $ l`VL4Ѭet{ cX4#OS.v;q>u?%u!sK j~ejty{ `CUӀ9Qc}9wYY3v氲ɲI @(+k_$U'4DW[$*~kbŅ?NumA.eav\u~eYc+q5U>sYtw59S=+#*82:8~ْt},4C@*+|?p'{p{yiYç̖C\;^RB!lِ"A\'׊ XX5A٧_H\w\ n4: m$aؔ~ns/cOOc ૆<+C}2p&IRcWXEs^..!GŭSIs ޺챱װPdBxƤ1pOn:4 V?TuTɐ{΢H̬F&FA,dI쟋zd&\KI:)Za[h<ؠfpH#Ii-,ʮc +>˖h6=־V2 'QdnƩt Iv;7@«fjcaYc$%xIԢdox3*"O%ʂG<#ѐߺu<0]aI1I[P˫7P dp˕srSSyH0_Ӻ6B9yȉi[^80ud۽.h&Zx?cE}Sw3EjkXtgEd^j'⁹AЃ{"I:*zv4]-6;ρQO+K}[#{dQ-Ӌd</W m esj]Km2\uF70sqrMH>> S7SCG%lnԬsMf'»2zJe8@&PAy<Ώұٖ֘Wp]U{/{][3:? \DI4C־7lyQ}G . Fč{tAP}\$ Su.vMYB`.::{?WـưDM?zُI3>Ns_L rha,@iJԱ@:`x5)ekȘpHjNmٶr\lAg3}Mvju1-Y~*]'\ [fW`h#k[D9 1p2#Qttg`E-s@ܠMm 5R0ϊ=h5A-fcA=^ 4hN]۔tCJ[%t'@Q2-/J%U=Ncƚ8)og-"<,~F+~^ 5  Y iiXx{.3+8 ;c4_,5ǖ=\ 8" U?91ֺ@I-inORi-#U\ 1Hze'aU]^c*îil۶-l;h0|P_KtnkhHC B~}H9>K3sm|S#E&ٌR U{Kx 7 u Hq:ˏ˲fbc#lt/L@D'eQO:$+h>Hn$[Υuv=u *#R$ NI O*M.V%1?'-4#cY[EXe񫹄=F Ȭh,TN4VȾoۉ2GaPm`kOtV+?e?n=rgRt.;^Lܕ%C몐h:տW9FkWLº+tZ(yOJ %4"Dd 0DLsHƵAʪF!50$z#kKbI`u\vkdwW3cXڸ],u$cuSaܚ a'Mdk /핍V<[lH*n-t#@FAUwz<\:1Xcɓܪ8]AhОDZZ-[U$:\2S.0pAGϣˀ+7_}V~8ȝ4A-gŎ<=`C& ts+v'a\8UFV`X h,<41[U\ۚ t?Vzd u 7c50||f/薰7B$xf<h*]"Ok&ȭ`U{$+`E+αݫ5@JwpVuV0L Hm#[\ Mpu<|aӰ;%pik\CXđ%+..1h쏏Ҳ IlGVjMhI䮃QcSa_FF3ÅZ;#D`@)SUkmou5Wl0bZz֒#Z57UT\TjcXyMHaL<:1Kw`]$O1Mי`OqQT׸'5,lP`t=yzJ˨[v~osJ͍&[Yc C#JщfHr o4y@bX=|G>eZ@|x%VutH'x|E߅`:-0u LCͿ{ 8#p,dh |S1鶚wxym rм9͒4>!vͺhe\6[!t%UZC`@<^`\u|f65N3+k\'R!r\*/+va(fIfАΟ(i> >E; ;OVIlULīuGt2CoMzThu` Pr1[`"5)Ҳ^Pn@ #_5h33+i;֎*7T(_bQzθ%[>۲/0'FFJ(V1:%΁" )1J!(Tӂ>)Iȸ뮊cG$B@@8KB#JXj"%9>z0D8Nѹ' A)gXownRy\&c|tBϮjFᦝLSkqlG|SXok5=PX7msc)kA6ReVq'A5fq;ytŚVh$8xW 6;tx8UoKO{+5ΖU_w>%G#o-֙p'Dx ߗ`4Kg KNw]Qɦse @YWu8Y~QDo>>gͿ.[{˜xN=O-&Di>(f&O(o<6G ZZC)ݨR"Xο ɩui&Xq)N$"חWjhlOe}@78 vΒIE{= >ܻ60k@OC^ZKFǚ8>jV, &Tş ٩!it_v=+o00'75z{sKPv2<Z.Ap|f'XYI\{䩶4@IRSd-BEaΟI yk>N6t72,{^"5Q 猋 ԝ O9yxc! yWJ?Zms~dPktƨ}9zdeu}gЙۨ !@<=Uamet0mjJU$ 29ZfaXFB/;]/]Gⱘh9 jV)$̏_+u7R]}ϊ$G*!iBʍQHςTo5W~II"\)  y9 oRat쩰'Rdcu}Y+F,$vr?_sW9+lEa{F:1-Ɛ4[t>ke(HYcz>eqz#eCQ<8+3$O3.ҪƤ+=%"%(5A ?`bYs]Jӝk2X8vtF'2Kb vEl$i%uTUl{`Mo J);+@O 灮| &s Lw]Y2h$-y{D&QAHs䜖@.$q> 6מSAyA Ve{ I g(ti9me\\x>HM'5V2U[&fNBg E5SwnI j[vӓ dW{w4AS%T) bErIcg4R =c'XKA"q  {|i7EMy/{$fKK*܄[c֐u >[|rU~Qhhh`#V0Hx*YȞThA3cI&~!_:%_+.fntt?X:./5m7Ѭ d oC9pkvVNU7}`¸"$=CU Ľk`t]nSdh N.peU̹]#‹)1 P l54([Cn8NL &`*yΚ_Sd $ :7g@=b,f<,7$s⏩ame,;B~O2 ls*͚l::6h:'ãV˻gă򑁨"AUI7M4X;Y ۂLN0%LE"@`b-zC 0]}8ξ,kCVMˮ B? $H N@hlh8E6 ɉݯ<*(e UӬɆK;GQp5P$N"f|GR BD裗~MI]ź'*tW`10dpGzCo _:ޫv5*uv@F_X*Iv0,k;CoՏ} :\C凞]mcCZ !( }K[|.N+ܬ/8hVaw]`+# Ѷ@dL'$| DГ-VvvOKnl+.nYulp=!tQƓ^9i\@jJXEMu58\TDk.$YE$q(>|:Ip3 ]լY "4X@:+:Scc]_%a48C$`qGp5 uWkԤKCHvcV+t+zMe% OXvUa/`.w]ܗ5\tO5OO U{G޴z8U|ƺ)g A `{zqlt䳱06q=>5T4{0nI t*;vCZ9Mae#n$@^yBZ 8k?1݌.#~)8Rz19$L㯒hLS1xHR6&S.ɹ fANIJLS $k&:rY%. 'lT@MSɃ"Q=Ի$>G4('$ @U6rrG`ے Od?BO54*O.N 5c+!QVKXkБ$wSAELWnʽxR⥉w J~#"|$t^piy'q1vF[Ť<6BkQk%[KwRY^P14h]\WDu98v+6N>Di"qĦ q27x0A*4zLS[}7 + a( 믂!B|S :2t#I Rk᪋Gsǒ?Vr:[<"M>*dً:_Vo'zZx;M@B3o˽'OZzl꺑-si.?[M;&H<:ommau*."yL7};"wG}Ue6,\֞pѫ>cqؔMdncȉu>`ɯ c['aQk$;6zX$7)y®cw-*._Y}UI D* lZ݇ Fh!ˆVym5P4[\6ߗ:3+oNc#hr_8Ȁ3vF5@)AWkp鸝cK`t:]eNs\5~ I?Qu2mvI)*3ѿMEޕ@ ĕT^dA:I |&:#$KFǖw0\,{\2*p2Iq'6N =vG<Ψ^>pxTsGn['^myH x2nq ˲a&`A>d6-D$5twX߰+Vsqq2f@8]lij4w#XNLy>ѧ~S}h'P%t4OsəԜEL!l`Icv>J+d89*a0FR0ŀu(c{܅g3V{&Z2恸8A=?~yGR]SsE$mn /?"&IWWcD4xʱq8Rb7TVfC}@Jsqs=4Ct.b6uUS䤾[}NޣfƑ1HͭkD;Tl/ȳe@ 'uV},qQNKXqYi1swe}S-*SYv++&:c/*@ +DZԿW4Ą `hF-BI֧No.V4wZ7yu-'tL{,AHwT,iNYDh//f;mW1}2mt kJb[O ׺`2v[t{rdR̗ٓ?Oį&ȱ*)+$cR(n-1qX-ڒ{&h{y%U]N_`i|BGͧ]k#szeİANXˢYjV[7x'@ Y`6;uG0| {`X}QO莴r 0jZzuVֈ`Lg*d69 w9]6ĘZYd;|Gf+&vVvUx=~j%W ONC`k`ⷰ:>&;eԴ\{|@j4%<%C!:G@ b[idVQ-|{#'BxHw fn78}.!aHNmv{)ݭr-IVE/rt =W:n-uO\DK&TY2ۅkG!bftHFE65 Ny,Sk:k&ǂ^N;-- TPbZofuV>Gu \;4}#{zi.Ua64-<Д1Q Ց8s|ޞcv[i08h%[yBNvhOtN}tat:5*TVƆ JMm|-p9U,ȍ9ITi: EN̍@>nOPTxֵ=@򩴖0dAZR2^EcXKf&rzcߎD$=ڀD=ϫ e pX=8I{"Gas8>.n;˚Dςk\Z9 !Ap41}x2#B2g]}45Bsǁd7$@uixx -, *+aʘ 5Ƶ@DR߫6 ?/Ձ)Ta]UV  9mhS$:D )=-n.0O,jt*8 ici$\U GtVN`[Rar$yQRvS8UAbJbyh7ǔ3 lcA gn>ʀeaOSe*y A㔁L* bЀSd%%*t#TGt"uLu:$fRh $.81\xwFmqnX!"tjqg 6 q-"%9[6R=!!b6#jM&j#H+\8N' Jy2otDjm.;:Rs/$v´ &!֍n[˞i4 i w%WĪ-oaMH ! #V58kKmP AM-' ru]n}J˯ײ#D=o0jW 6j=,Óe6h[_ۘS{4w!:eha[kYXa' 'M$7IqI7aM<(zՀ> 0nyᣒsha{ߤ+?3x'R<*it/gS=ͬ?.0Ӷ#oY: tIXq']J ge{e:74O<(Ʊ$/v;0, >ѮNl>HyH 8} `G>6ea[G,zH)s:}O?[Sh9H"OmPq2#qyi?i:08)F}?f w2Z厓Ӟ=HO$+?X&[F[$+]=}w-2Ճ$x%&kelͤ@+saNԟ`wOT'415ΗnlMg mV݌s݅]9"H9ZsSe-u ^r%ͨTӯwI='5 H;^гqhufY w=^ $x)2׵HW>Hit:Nh}}~;>^ǰF^j"f5L8$xG\o{__/xI!XLpIut.k^紘hazumB x@Ƶ] 6E>4 .1%P_I%?+X} ck{_(ѫq NJmOVXKIwC`iL",vMc\ ~6mNhv\;+C J[S9>)@$eE}==ʎR/<+LB O:湦Ar> .֖*)DX 8Qs[kYɅUgi;&JdUK^cG:]sPe@򺕷ˈ'J|adٶ2s8ə]7EsLH;92'hphR֍yn,lLbei$x.{@>j͸x e8"Qz.U $m]:%hu:fL羠Ly&+c<_Ժs'Zh)Du:8y}E !% `V̎.UPoMTHuFdߛhc7ѨIl[a.qǔd_kˉ4CqݠH> ,t3Q w<m6nJuG6q ԟ=90j7MUWK7oV\jddQ7# c썃ҭȰGdq"ZuSnM+,:W|4mcSDNyBYvP*݇l8'ZI:[鬽 )KIPLs,ZDU ΧU9B{,q[^Ƹx+"縙F nJ%|۲^L4pѳrt7vO Ow ÀQL"9].b5#H)a溍v _KlFKs7=Lb+g[%3C$w춺_irs21Y:&tZ=~ QV\2D9!gSM4M]YY9~6T\N |jX&qǠ߰r^D@هt׺T:{/kr<ЎrMKk >\th S;o@{lF4Fxx oRDvXYvwXRk-$4VMnAѧ"ǯTntzzus:c񝹅kFrb vR9c_4zW?jsCn^Y %d{oTɣJЂ&11cu]=EWM~"@X]GYkS`H,|4Oߕ`0HGUi߲J{/> gV=(n4(h< -" ȞP+d,Ktj%<(KtղS*\M-'Dmƒ"@@:--;m"XgXdAq'^BX[ܠuO@ FoUIJsI1QBu$jp`,zO|沚8 '?VtKOWQ=Ul 4cUqq>_RYlV~i,i-'T!.PI'}1HwRp? Є1蘉׷fI〒@x$IsJ\30;GL RyӰXÜGYQO0k{|:;.kbAxJ, 9*gP/2<ϒ*UeB y,G۞I,'A1(6"}B9dK!.&\&IF)Pr+o5y)WTU\Fn Xan3#@ih <n# wO䑔rUO[#L a~i _Zkj?g0(9]RYf 0iYu?{]|M rd4B1;vO6&fL:kǃ豙Yzd pf$Yo- nFc*A|fi Xxս[\Yh3]QyA( ^\{Aad $KVq CW8cYYV׿uC,I]Jx K<.!yyh~ہ׺pF@1 :5 LHt1g+k #@{\=Op!tU:BEĀ=@L J[Q60CD-8ĦִAToP$Y) *7 :vוYen %@STO)TA%HIQ:v)$QC?s[[[Mt+&$gc%Kbɳe"Jy:ea'۔ bOtjuQb yJX jHOM@ b[h 'P$P! ӪJd4MΡ)%(&'X F$̄$COk+cm#!Ԫڱr.a$.&i'iդ.*Yy%hcʈfoՐƙ{w Zuo4L"5+Y{ݵ}an+7O,~+kB6%o $p s IG6!Q[>@״n}=嶺PDD磶+_Lح˃\%݇eti%͝Cϲ 8CG0WΧ8.]blzIX[mǸ'I@K~UkO r[[t gYx{vV;r;'@pu5Zp({w]>^Y, 㼓 %< yH d)G#fJC˔d;3HDGtT=My"`IKu^ڥ3S$#Q+#~RCcYle& I;U0*ej=G7hP5\O@'Ä+V0MrqmO}CfQZ'Oz$_Y!%AVe%&}W:(2gDT;)3rre#Y!3pt| xbRI~xO&RM߾P {RDµMNq At4[6\'UqXb!:ahݴ">!YLJej0٘ Us]._Fiy ԖF;lc4\g^5<wYkԥ4P(!lH9*K&tͷȃ慨1{@QNѠ 8(: I亮oGz[\=@S<]KVq? qdDVINXJItL5NcT-Pc(d Lc!%0)4ӉVvFK3F^xHSXLű$1= .$20CGw]/˷` ouc x{82xٰ:xٟQb9wc.b2]xsb`u[_qRy+u  nvonG )6Y9U oʁoq2  6FSuDIE8PU$ =S⒭r{'T-FC a8=ʃyRB>U)4JHb:pOi"fBpO&V )q|y6s!Du.xMƊ4̧Se*gAhJB))R)'TϏRf;kߙ`k0jlmQSj6mWOrub5Ͻ$VԖv:YuѬg;דC`%*]G٘CdѣV eMDVqDScNMiHWu6]VvF {}8'XOFݦdpp-[uXۙS&l1;)vr3Ţ4_ԱXH0cX\^']"WtN10s(nG B{[{G+#A&Τ*> @& HV0oܤ!9i,Egt@D@ DZXB Ld|BӾ=321hkkiPe.,gE g$ MIB..M\ci{O!^}`=#kNh@f6H;_1 P7t:Edjy iѠJ\.h P:rx 5 갺IsM@9nF$DzTZN_$RQ* dyZ8h IOvVsd0DhpGze:fFc ,c`i[Ap?H;8l";A[,˃"fANjfw7Q >#!ߕEtʈz&o+[ l\GDgWkKvȇskitJm6X:J2졨᧱Y ø{뵢5gGR(` kG%cEԝ$'Hk2`$w\uIBuӺͮۨ [Kcm{mx\/˜gEkRlgu;~ ]AtH': =;^UhA8jB wC(3,L$ F@F'T6IKh*-:i4S")@ׅ~HT9{xBS%x l$XDNAsx&%}03iQC)2ASΚuЩ@웞yI bRpCTF8ɴxX]`mH?z$@zI ΀f<9 1)E+ )Ab#'4S lv)o~vL:wRRLD be(݌cDiyO!fӒCI:4OPk]Qstn`u6h<! hʚX KT'E-sTΫxLI, .ŴNIt]Cd==~]2 Xwi*9n׼A[ M]v?Vc&6KԖbG L@nvS1a vCUOWnAΓY׷>#-R:4Қur>+TĬΣicMTs$\@q|1A揄wn;ѾA&Kqԟ/FC m˲!8v]>-l~%c68h x跩, 'ES/ I6ȓ$pBkly~@/,ca;uJD2u.em`$;Vk\Ɖ'\&>scd'RO+G`\H!HY^7l Eo 4K%<]&1cX @ZXgb%S7zV%9M,-zNZH >ff}<fmvo ]SDL1$:NUROy $A ;T0N`6D$LDƲ^&Ma!+u"h{rk'J_MBCHx. tO_rHlx }Hmu~5hqKYHi\g]ecݫ{,04J0eh&O)` tRkgॲSN$; ‘i 3I@)! i<Z8=ǩp_0y^3}HhF@8U"kׅE~*ln0Oegp{$:dGl&ޟ rI6בmVǸp+sMhyT84$Cudp [tzTogpJkm./<-&ǁ]. "cPU[KfG#@u*N/f @ V" H3ʮrHMöLXNg@t$zBskK$v%>22 +NCwVª< 7_\F_mnCY(Fndݭ$OWAGMĦß\uV[fv(P)%pu.״hF+!d8H#YS0aQǔvAWt O!Gu+1N.pp@2'*k7<14w%K싴q,_e8~ٲ;Xy>,nGc i 6ۚDjD1:o:{x[C}I,NE64pA"aM퉋VC:ʥMWlΘc -%#*)ʄrP1QpzV6;@oGb:mv{1#k$”H<;&[l<+WJhbSc=0v@c ?`8Df:+t"ssO]{zgMǢ}Է-M\[nP'kV@4HϚ,&um$POYCdq{z :S- :Fe5vϸl<[r^X1ˏt8?G}{{|q袊D9<0H<*y3mM 281, LK 2կDcX +=: t>=ޛ"NF V3߿!x#nF&d銩zimoO޳:Jmq:8wiv]"9!@2n}F :Nhj!Kӆ(/K; -GG[wZV> 'H\OTapbOt+Ѻq=N5+tX#`x-Kil@@}ND*"'ț"?R !Uηƴ ûRzpk::8MF^}s[Wr3.; 5UtNLR13ķ&͕.ӍFqFţ6$gN (rd'AR,J1@2|F 5Dn> ^hOdy Mn <.mhѮtLVoe)5UP @8:V`H2 A]o/v3͟;*N9]^X9@W,YI-Z>!~).ph]ֿE A`)pR$6܁X t@)m 8J#duSK7Wph=n潰A;k1Kh1e7GU<=sv+(^:[Ucsmc}uGe.^%UHY^#XU6b4]Z.!,Y}GVm kսQO">?GSH?֬N iW L+baە`egǀXk]q)0@׍Srπh.N+[ \5U||fpC#YToʰIq㐣 벌VܒZ+=||<Cd\xW0;!Xoʨe-ΧO#Cuwyۺ6e A3&ź\ҽ{HpFbI^!E;:Xt\9c4wVy.gltWtZx '"ܗo (WU2lqSNsѿޞ# BYysY%1m~ӨB64 ͵i8FQ\Οv ک{%O\f h;)bu[1đ'|[[ !=hjѤß܏%S3{v \":WٮYyaq\|F[K%YɖAy[A2 -\QM4|#vC#xrl y|VXeÆb',. I$ S#޻(wR-\`h;!bǻnI+; mv+qmhCH32q ;RK.5tv۫-=M>hG DV>Kr@q K˳Z;wVzNEm`;4%ޛ*w?I5Q`uݽ;ϒ%u]{0{w7)c˙_E͹cHʫuvC`ut##U0꽅hO%˨9EG30x[,slh{u/G \l@ОO  =ol=֯MX#I\NvVe;@A E}^y}[4 ɎV]2eyDtTDuivux'uNe3eHi\9E/q/su%6K9e܎?K峣{y. X).A3\l Æ 㺞KIn&ځ8 Ρ-|@vM>Ik.1կI}C%86/qZS)+m8o8jZ=?۵I=֞F3/l_ֳPwS1Ͳ;F%e3`XTuL=2$w*]{̞]vRL̻rl`(ߓ` O6M&m"*Kަkk&yN1AN} Yf w+:nyxv];KG:߇VC \ |pB-\l:uⴚs5idaۊKaVY cII,BZĢ|UeguL ,uW5IϓO*H6Ź [#bʭO1]M:~ oG']Z4hᣲrI(Nsz%ّ"?ԪѬ՘?EI$8QR4iʳ@R7$XKrN*Me`ElA4h{`h&`pLj)MfQ?R!#4](hD5K7|p8huBoI*o8ãW"]vBwV3% u{U,ާF0;*q콸+cGulma3r%y%e\0 k-'=$=ʃ4"t鉓*RrMTTO:wIK<(4RJ*\($&{$Su'25J4)HR >@> xd۲8'[ 7y<%X$O]E$4mzU[Kf 4ec5:l^;&1Re2}#K30?ثpJٲiuhD\SmȖVֆ&5"Uľ ;4vEWeTЀED vW:}n5&cv-t\Jp s ;َ}Jh2GD==Lp O]>Ev4="O 2 #bfEMsw =~#opgchcwR~ -%9Oi`k~VLȷ,$4i*_F5L{KyMJd4I[ Ag\2?Kjt 2#LdgD q5$vEmshΥ`fgdTq"TSu^Cńh\{,qi܇쥒by̮cSQ9Բgo`GU45KA.UeLct`sY7YF-ZVsLR!~- (gSBHQV)LFꛄ)D$ !2DYNJ08V' #. Gu(D̢9 vL\CL#bL@BtJpJmS%/ǚQLj$쒙 h ^of#I$ݗ ׿f\\AxuQs.2NdzQ&RQM+?.`.v-@K6InX]^MKŻ@%TsHc9=y! w&5&NP | ug XPjRuXn8氉31쭥= grHv>j*ѻ=4t]?v;G785ߌl> ACD=[@:̂$11Y8=]t`ƨZ\ѩ%@`n6Ɓ$:SI5"?ܫu %Ί v4S#E61cm9[/y^y Q--6P`\j3DS]h0Er=QC> MR[9Nf;m{&4E{<֯MbӤ0b[ ح^*kp{gi߁e^+ Ccc7I$rK܎A[*Voa$.;(-H<Vv3]`i 3ˣNJ]Qkh {βfIs*V Ⳁ4)֥V? ZX @:J܆D΂U]C{“ވ4;VX@h2 ƿDAW0E<TuU1)nO Y @XI[OvL3#O:*֒@t=5s q.^+CkX31JpX][>VP济ҫƝ4Yё] &敹4$ 15 $"0 אx>*ļU[\fC&DtPk6$Hd֋@9wm^&"UriQ{dUss/ìxʭ+,kO} V0'Gd=(/CN;C+fO%5qm{":clis8 Z7ь ;u[r˘ѶHIJA-#acH;M;Ce6魅ѫ}Fqv*֋z:7ߒt'F鵀10pbޠ[ q 8=f{x*L].N!ٍU!A@uO;Qֻ}죈$t=P@*C"qf[nD< "X@H ZOeUM t[]*h} =XTf5AxQƆ$> M 5jGN Iv¬fr[Io"bZXw=k^j@ԫXl vZY=xu*N<ϲO%W8-}N "FT|>f;A$i IOCqhf@=T{A.&Q;*'\nL ,W"vo#Aܪ7')kv /^;tJnΑ•ă`M%/b`"|SB%%1|DC+ī$Y L&!Z9'cGv$.Z'@tN\8E QC)0!D)NByhSnI?Å&$$~(_;wk7#?Q*:tTa#zh*)!Pb=xh!Tm pv)l΢I`8Cp@]?]Ӎ^5Eph{#䧰F41y f'd7kȳ&:̩Xע\[@NAUd2Z?J/|@Kc`=: {y Y=@\׸tZ-2ʗe'8kģ \tdZN!ׇHc'ҧ3/طα$d9I.qu%YoOM4jA䏂˧smƯ'1ƬWKvO%sc$72 ފsz 8a 6k3s)dֺِO#)b_K4vWbj#=5$LV+7`_MvC:̂ t̜DzvM:2M6 wNY˴%U5\"d@Qn-tH=PT=,r\]$SjvAQqQ(2 {"̼h n5cl 0 x.<'`VEv OiZ 2;0A*;qk253Dt5$$6wXsj@t.@HE/sjr#)Kw*="M;$KAT".ȱP2J몰۵@<~+k1l6<lBtYc#st;ICnx(mm/h(V-70C$.bݍ³-^=L=V {Wxg3WNy75c;)~8ߣUuA''UOLڙ[[]m5S 6 I]_*2N4 )uq+R@ߔ BJbF0T$BJX\cD@3ʐ3L ϊH\5< Wp+Mr3@8]'#'W7edJ1(T5Oc=1 <S9KMAԶ_%:{}`2렝tA˺iw@<ԥ$kt/?eatUu^E:έBlqFZLq{L讶l?K ?[.$i92pb#MuW칎$?zR Ј*" h(G$ AYHm71@h+ஸxXsPk>A NTUKXTW%:a56{4seIni11^teot0^Xuq<$L1YC"h3C4h26>1q}7R-#+Yl0:rV/f;-_Զ|@oTv!j4qU0#gBlf_a}@ap{/UQaw̮YaV&NB>mӠIfJDMM :P=[!4Q*d7)DILS䴙&|;H6I{30]<%BN$8R8'Y0"vyv%g[^agpgָΈTH b'Ҫb]L4 pd']Qjit#4@(쬈UP wE`9H*Al{7);S! 5͇x'Lr٪3m&gBy #5<*Gh!_m: Ēi?c \P]:kj#IR'USĻް#I)54}JLHȏm`Pn" e͎5jëICHn78%#J "vD;Tz=xV & i<2G*:HБDwRkPmO/e9Fl-'yW:e3k4j\t0$VEd:GٓHAsc`E$ⷺUxljGXB; H(tP~Jdl5X#Q^!0'>k85fGM!> Agk~DkYBܛ x U'U:ǺaBH6-vթO H}IACY =V ZB.Bt%HppvX(}Z8g䥴8Cls棄N/+~3F%>5ai*Yvmu> HE"l'!Xe; }BeNWNհ `Vx<>@(-Et02О=W{\Ǎ`Ή^"MKҟH. |P]+E+IǷq*)q!O]HeMD9R~  /qO%G]$tP/k%ZAAfvH  q2ftcNr> 0 P엙#`okbP .v+FUpV`kQQZ(8L)G HԤ:$9OA A:L&LomDIIL!Jr3nN:+?ەt;J`V6PZ R"(|VE|$ GmU.Ul";4oR0AcI1ݻPdʟ a2Ũ@=|V<`k#&ݾI'F>6W~E1"I3l`j";8:jZ=#$?EH"H@ ~(XG)4RǴhJe͂`V=={YmGN eni˚Y=Cm]8p,˺Us\0GMvQ[La6EDw9$x~k:L ثr2j*y2 R{4:idT-lAB馷LZ ~*Ws%6msfF0H|r32/h\< /ΦedmgE1{'ʷ/;vǸ {fW(-Wk-wPȦgˇ+q2뱐DGXx-̧>]:4s\WP-㱲}E^s|92:DfKv4icFx l6 U̎ij.s paqc(5:e.s/ On^trT~;&GQaeH7 CN G煌e.qyZ0xe LO`<>ɍ'7CUnf?mk_mA;@Sûf8+5'HWRXZ pSy^&ٰ=-kY{$})糺e2XA$7U^NtG &.*Ⱦf \oS&C[\ϒ~Ky1n-pB!r(ݭF.FSu $-c]CFqiŨ28QF f7ÔiZmưYku68>dD:AuDm_O#;6\ >@(zt=); *5AUYRN) P.'YVL1ۏnǺAt]W'4CGpQ";5IpxPDuBp:y*C2mF8)zII:Wp'WI75rmC8e&LFX ::OG< rJ` i{`4jJ&+m vhO*j`tKzuZ?8 }@tP|\֝{Ni]Sbg;p|s2 |VPLsKl%ôn3#j,nC 0oԺ&=H*YdĴp<U-:cRc[PlaBk2_+o +};3E= :?Hsu\&Qu@{=FϤjF92M;5ZeNSɲj{Grl|%Ie۔_@tC?YƝZ;UJ {ظe0I. @ :!S1PCROM&8f^F%hk@V]!D|C74`u;X}=6sCgq\J}&f_A88)7q5\fmO5XwnEN "_e@Fƻ&͔t$p>+`*یy};*>5Պn֎IԔv7tf7!r("+? ڀ~;rZ@p(Z$*i7V=C"Eo"$r^\\LI@`'*̋}K'ts:)ۙfHo2}e Г.kNuTs O2NF#p˨ 'Kᘍ%kkI?[swW<gt&C;""{’Xĵkho#s[e.ۜuqq& 'Ry%htޓm^"1`,O彤kR|OCԁKIZ,cX͍ѣ1<p,oŻkHNڬ s G#ZZ3sD8vO9ճ3mX܎7uvO"Geܚj"D9@P :u%-V總.qJp[tP6Y>k[qj:#w':pS mLJw4F Wt[np}ep l",hQELk"OpWccݵV6vÜL;XȐ)գ0\ƺyV  C [Ԗ !AMR AʭqqKBz (#5e h.q:Q+{-v[a!{VO[+FɆ?v 2ZDtqznhA-.m3+2#O=OdkB$Oq Ye໹PiEԺV7~Xm.yy'^5,r+{(iF%.q Hv\[h3AK8g.=lj[AC&)svFguLjZ}7"!syw^b|SaSQ-ԝ;FځPq$+?ߘo`^ix\#Kjk$c̓tعl˸zacl>bf;Z~M#G::#T*٬{^` U3uT{Ln*Inч\kueݒy [k^?"܇r?GW.[6\L9+GՎHoJUX+ 7ٌkIf;&)5OMUY쓻΋.OܷY`d;'vmiQx0-z^97[C~ǟ~<=dUe2\xL?F{ΝЫ mqmc>wLP {@T4Kͭ$l3䬦g EX`Qe )KX]I>G/ \=Vܗ84w 1GdMք:` c ș$cݐA:U&x j^r7swut?2ڃ 4 4nA$Gbl!ob# @& = . 'QY(.$;29UGp@ :j>)JD:&$ X0 2G<ɟpsB4̸Hm@d¿i#,<'PFWlgXeI*RX^<4H$h:G PL(D ./-ʳFmO@Vt'I055Jj&'HNJ4 aqOhhlH!MuLÈ%4F!e,(OXц[CpI"ue cI$TT ghVӏ[{xǵ@}zvW&<2I(Yguzuʪs+~CwNM5P,s vGdWǸ 6hJk_*xh΋H ?"岱/ ~tM( jt'dClq hВnv;qw+:N)Qh kWQd4=ѣN`8⡫k6uӺ6?By싙)cZF;2-#G4J9lR#Pux`V.UuCkhuvn31]P4Oϰx?k BϿ1wZiUm{vRt2O๏ +4::L{Ip2wER<ۚڟ8@i-01 A};1+NyDFe;)6X =3md2$i(6exF5z6U;;cV} s BF;X޵p{d*Yxp:2ښ<B1+;?YF :dc"tA=2Pk ;uFgOswhIWaTw||{2 ZӬɕh y%slv<-,=H ˢ 4: G)BN-hhOQ_*q+ͥ4?iuCMT2u .y:Ρ3^EM6yYe{u*1-e5g"nՏU #:P,xc|,y[1/dhu$9u0y*J=G5['E\X HVzU,Nΐ;5Z-yzRþisImtvLkkʮ 6Hi[$ %T7kXl` h4<}v0x!Ooa߅H``DOq3+`AVoS"Zb۲_4 ID,1:]O&[wA?Y$k[&hdKG -9phovYxD9ӪkłZ&9Tqz⇑@.:mS'@;nfQﻆK԰;ÆIHDkǒ%f]Df[^Ln:QZl;F6:.Ն[s_m>|Asy~.$6Re( <(4LU(rs/aIg\]Ǹwm<-,=,MI:69ϒKRU@kȗjg^Jt;Q0Ioe6U9<Yo0xwW]^J1k A u2|q{u#*2z5̓!WwC{}嫉`CF_bYx@KW6k}K̒nszvqT/ʰ{8A:p Zd̼[51M6cjx]Ht=|%ѹvJ[.@:V<,nE+:^e$ 2eecWV4)NiK"uNb R!]HF&iK;w.S x r=T:G#FY"汀=ڣ@xQ4 #O)C(TH8jxXWa='>D2!rv5#M;6W@#HP Q᭗*gPƩ\hYV:WP4$hHNUSqd4Uco#%e`&4TrrlIOᠲfgG'vM ;ODz[-dJ.6Kt[&ZQV;vV Qf ]Ìy+H*һ 8tf&| /&9'MOG ^Ø\H0H8x-`$pB '5%WS7hObXt# .pɼ [ͭ[4ihaI{= y>HIQ/~d7⃉_7J'Mh7ETThj^KtJsKysL4 ȧW@5cq?OlNS r7CINy%it~L@-w+?Kx(Jҧem]-gU{I:UYo4j }=cXd ]+Jq*/g@y%sNܷNڧF!?ޛ)L :O el_i:>[Һ; >Ց{X5ւK(9\` dlms$8UfCjaqLyбĴԟhVK ~G-G{1Ķ,n5lUkkݾ7-R`"$=: 8D{ZDW?:a>#kPdF Dg+*mIOK肄0NOK ƲG`-H$tM50]sw\<G~f.GZk^2'RbW#τud;RGL}ʏPUbKk-}Ls +3Ze£8ȞQ.߽\u OnA"-vFk#ӲCtj9*@fauU5J;뽻"<]9 cu:}7]sm6ec]Xn1Đ;)I!J[nq$]kHpHS6uxm4v?wk xYNJJIY<<)Z %]Nkͤ+\KC U}CAJ,;u$cb_7֏tWKXUXW'Rt{kJ@(|`]ꦦO`KɈB.Z#4],ޫm1ˈlfP@dQE/wlLGagǴj8+QkYa;G$a#-1ljI#^Yrצ:$=!P4Y:`C6g n<gȎS<2Y[y ~+hj@5Yՙ M];cDY4]/NťWA p*z(r@/#Fx-Gf ~gGR%U6WSzf]V,,A]{s`+ 3<N/I=lúzo핝Z &xXǮc"MTwsOzgJ6Ǵ>5'U<||zwySp 2t ^pDžzS&@}÷l bXDΉ(>ĝ^[f F\cwbŬ9T10mNtI/,ŵi*`%DoQxv.0`.IOROOp&j$NDB pKo s}} |sidOu$E߉h}N:-p49:_Q<½-kA0JKUeױn:9G;a ˚}v`)敏dW{^6^)vE̍f ,ѝL_Y&4+RKZ[@ /4 B yn}/-#q:;Z@S(][ @FiN1$,%/tP:1Vox.:O`K .ٸ#]'Of tTJ x 啲oTJBs"Mٝ:wq+Qkm.yt|c_v+k}#2^ \y/Bw,lu4E^44X60y&td =H+gh2Jdfu~ plc fAXRǮ nwr~(\XJ)a},qszk.}`$.#5xy&?p$7$JSKv=z>О_Sf3n$1S'3ԅ+&ܛ 2 ;,,_D&+/mF)mtJl (8l,Iq⧑]Ր Cq/9ߎDd&/HEeu8`Oec3$s0=%cAB uz .l$DNJNE8J8 ([׈y]&-5c42໹SPeb LF :r+" '5[K-Fc% 2{2 xr1LGwkYA画Y}IrIބ(lu=V3sO35_sMA)1J}}ډuSkS qE"&!h#eVsZX0cCVN 5%uM%vCǢ\!hA=̍7 pz,$wF]m`5qЬFzZIʛډ qIR~﹮<8[fgܝb<9L YspMN63B;r113(e1a̭cgu;MU HT.y/O!@R|cGY je^q%hОj6ZH#^3=ѩ:`} %g9ո5ΐm$}aeb ("ke~:6h+H<(_}lo$V}ڥ2UhvE& W0uhIsfHԄ(P[߫1}DP㽤 +qUkI#aI$vh"[U;|)~94\?"=]S&΅>X*VUX.yW=:.\Cq!]kﰾI sVA̍#(0$Y-l+r}0yR nq䭎X6ݐ$@>>A;8ŝ\.IoNh#iN6 . {peZu 4I=).hbێB|&.;w, I0$OP}:#zMzZ1{uUmP& k%ֺ痼:t 7Ff> KtZǩaD NKvBYHVd>}VԋHcnv 9X9=`;?8|&2 *龫 | O!\a+6$AhPN[lzlouWq<c |IFܢKf 5`|@ }W>irY/հiTK \dOúw*Y^&dcdtZ -=7{urp 9ln~;atq /shnX=~\F(qlwn .<#UoG-pe?YO k%S&\w5hGtQ@\5khk ,i05{_b:`G3}di')mi5gPx$j@5NBIz! 'm&a"cvp~kz7h ucm &oEƣXSmp/GOG)vJ=p¬di=ј Gtپ8NavYx/ {-Xi aeQA!] ơ4 # 6>2;ĐuVL %Rxb*O066 j ZI{'%Iѯ +uaCp[9G>'ֲ*Z[Q:wV}VmVn.T{ ^k-zޝV8KZ5T̷) &@J t+}"6GmJ iь6bJϡ5jPd:BN=D&#&ݏpo+M`xp+5s8?H :{?)ĵah_ cGgOk5n얷kwi [݆^=RIDGߴh}^ZǸѩ\joa%\^^FN{i2#dςrgL͠U[Z t$s 2K ir~H$(Ž @ыv L1l\݅2u +e6ΎOg=duFAqCd u #3e'etX\1r}ؤ7&϶A1O)S4fu{,uV]xn:7~ٰr zZS^KNto$[~iv} "ߴX ClXXu1*=_>1>Հ>'@ ë%H:/5f`هluq-p쐜r ;ck74ɍBF;1 xPFC J۠ w7R_4vUÒh.>RJ%}7q$CU~":.Axxh76ka䴍<]lΣXTdC2y lsioaD[cAasxyGh0\>f728 ފ+><:W-ŕjn{gu ,a84cېU"L> #-AsshjYF9y-N1@=:¹Y:d'"y)[;BJFUe \VF[؎ȉG Sմ2fDI @KXc:!Vd$oQG1:Hh]?mNDiZv\NF#}PGo>/X|S}>t.~YkG>h9V8xdXT'|Q!J 4cX60<7pcH~pm Sͮ_il EoG!]!qy$AQ[=@;!_e4VmZ xV/WNvm.2߂m7Pveʚa pebI৏nC*fNO eRbր6swYk\IԠdU-;s)Ie-X[jZfDsqsA^}Ob*z elp kOuulS3N /2]eA ՏnE˜@xu(bk`v;lk{"`p7 ̹ _$7ATwiGUӑYcƦt#?Oޝ o?TYHqY;I2I*>- `y> b'SA1K :خ acU KRPJ=ÉFg: "A&Ԫfr<siǬC&)kkfhX=CǹAǺ^u6Ï8 VCIO B:ȭ:LLǃf+e7Yx+;+ x':Z8]loaFj1m$i3[mA(2@kNI & vu0GsydNtC'Bf^DT$ M,ѱ{-L*Q B.6ln2ZoeD.s/ .`% xyޑhqOsǒnH15]It<۴'|3zx3dp@T94㰛 A3r%kMe.pNFctWH"ID)CRVZ\v#,lpО> R΋\Gc_f; q Usg,,dne͙5'8֭㱤9+"gv1%,ƭx3kİ̮Fۇs> SuP@wXqz κ'NPN ,Zɢ„c$ -ȴﰃ^˘Zc{< S'^W%Ō4wS cRˑY7bXBbX4wb|;]v;)Č l^ppyY7 v , o'qW[I ;uj+lYq?DjU:Z.q>0x>%KEi+fLD p^%Ru #S-5NGR4H c5uEػ0x?W[f*_#tukoJ KF?:%*Ydde ZI5$%}^g?7th-E<7O/^cM DD%lh ,<̀apI#: e-i0ii)qlFf .w3"cDica sQeV= ]{F0xk8cgohW~ˍމ\ʵyXDYL6TV\ K ]eMDGDxjzilңky tY6J:^v8GaU1-x 4Ę쥏jMl:õ<כ]xXq";vXY %xP=;)7#P짮e]2V^ H%gߒ\H5E_R=JHt)5oi?cXQHeS>[ŷh='Sc͏Hhd\8 vLkI*N7QlwV=TZ\"@["z4d>iet<9e݌HT}&{Ժl+xPIzv;*v<5;X\:#&{^NJzm6o5j B:n,;@Nt}VO'BP f8# xLgE! nA$FoL »pX-l=iDԊOVŦ,ǂQꭢV>IpXss$1b7gDǍI]2Ӹ(KG:q$T,elmFC^L+HZ`BoŴ`Ԟ-փ{ܢMW:D|-Ԟ 4 };uqF?!xQh(ǭt>h{fLJ{̸uGe?FVan::9ntlJu@mwL7Û䬋+ͮ?R&9չfd2_]xe3{*ҁJ2tUO:m^H=◷.%=R͵ ?$JC\FlښDacgMnrx]h&Q8`3>*\@߂ntƒw xA Dm=)!hZ쥕1t]h<p UJWGebײ4ʾN]XP4hD.S hUjﱀ #u o~ѣO;*DYxz}KR\dKL|VG+;%F ͭAG4Hݴp8 GDU"eQ."糰fyoeu !KDrr\ <7S-47@Œ׆q=mjk(ع> sCQL.K[vHu PZ 67dqଖNfD3BAU4\Atj9B7z)qt 'AܫviYy^C9cd L tWb,zXR +i{pO-Kӳ=q{kT!p 4mD2wQ{*y [#\E6'C,F+t;rr%I%pcS#nABuY` i/'G,F'Of{H2{1h5u0:=8/'U^˵'8(CSNQ!ڃf.]͖G  fagN'"O %(;jߌ{\R/":Zy(W]mֺ].yPAݕZZp2^P.+dXӨ wi5ly:xDlEwݷ<OdL,;r51ԍ9=HA.L@TTc`t|&MW8 M{^wDaR$Nk>Ktw[HÆn@thIY}OSYu8=ź)ظDtlp:e+K^^\tOt~wpֶqpo̰2dn`KF!\4VK,o8FZZ , tH |TfIB,*ǍE7CA&!.VLaD{ә.>N9vS l p:C}p2  dkT:}t յέײ [zc C)zhABohxҫ鎴?5Od 5 ̂pJI:6M;(`G˗syS[5BzH,_c,usJ^J t4#G5AV}{.6M$@+zkG>(y$KMhcPTcUJZbLFmGuF-Ӌk;^K\^iT?U+8=94CXîuB_ +Wr~;"՘- ̩DE!eX" Ъ0 [tVWۺ4Vilc5C;KDDU+8ms+i G`mYAN̫X'IU$V#Vqu'O2atZT2{ u[X`;rJ@h ZƲ hml;#4i %Ml&dD{`bcXCN鮲v-Ϛ纎{햀+C*lRE c;k\!wB: TZ 0$ kYЕ>e}f ж̭0C@<]yhV y*IH~I1\ΟVK *.ek@S"H:[dbߏa ;:Ki5ԺP~cčFDqd5A-ޟkqsa'.6H9y=캮,#i&%ɉvzH `2ZC bg>74+%!@*ӘG" R4 :_;ёY%"O?%`JۙM~kG'E[eb_f kMO)GS]V׹lh||sW",!-sNOrVKz[}ox*N6CcBl<G`D ``Z;&NJw0BW K+j֖1Zt1 ]0INS<$p9VnLO{m@˿طƆ4CGi;:8 WK6x>*IiTivAfHp~9>*<4j q=@tKt뱎 jC )yh< WM KO1 u qsejOu*M-lLB7'iJi <S⋕+`!q :Ma@qD_eWmOa!$)-D0րHхE^Xfu% M=k\wr ݨ1ƫ Fl. dHY⋧{/2ӫ,MX$:p.lwYO qx& 7"U1hwzXk |K _&^jO0]i g$<tq,oPԹ;[t_~i[/mEcZ°@p ^Y +u>%C4ƠhA[*a3?0;NR㘗vΥ{qVwSUeo5m<"WC1I A$R.%kn[}awtq@ *$i3iQHnخ*V;gt;l3RGBs-ŽH:y PZG+}A848?d%i2}UĀ NqV:K{$WpVHy(XIt1Z~)Cm.Z/[ƫC/cY cX;{*DW;<i<D[bWp,-8AgG NU<$2 ;ˡ'^aa.림rE`w+0G<"_@@q<|D[ RC& { U$O$4r<#qdVƴ.~w<ɀL  `xs@yH[SzdP޶^)ZZ jrG^`XDZdMtʁf`tX~Ď䮓ca4n:s%#B^)[}GkhGUcb}쯗SqԎ4:oykjުHk5 i 9:ܬk1.5F;+#(vz{2u=:T-ޛPsyb`e15 $0Y%Wj" v3w3&Tto>6lǙV[ak#`ȏu> Ƭn{$Q] HDrSo+, Z;.w}_̱~K]ZdVuYcA'qtSOdGt۪?f0ISLU<]ν,c~dΦ4FK_-Jqjie"J2sMm>LkEgtꙑNPh[!CD4eG]O6S iXF!@zO;"Bnk+ͭ@wSAw(u 9l1qlh(?U}aӇqlkib$j>)zeu.쵱~>;aXLUrmC@4Uu'Q):83Y@33Q4pM+GoK7!DkSlPW ^xV+i.\\3$p+UͿ&Ǿá$4#,lKr't ݂&M2&+q]clR ҃cX@so\m@>alI)F{A mTY.+ȭa@ᾭ,8pVhZᣀMQ,hSfU9ycFgfߗgq>GV/u=pJrZJ:Gtǒ:nWUn63dT]IxWn{ìhyҾte q_[7^*[X H)-OQypѠA0~Wu|ˏiѕgakMkcHT2f7l3rlAh&=v0A:npfFmwp;:tP=R ֵF6;%k#黲=4 3"c8,KQv;iV.u]0}Z@cIهCM/QV79จkF]f#[(>;W>'l .k8HEF5/XO`<>z.&t(شcUVD8 (N/S;աdjVmY6A;KH I+?tekÿ:%Ummo!A%Z{{h\u>s Psaj@L#fkG!~Ml$KEUjtUc02(c C7\Qӯ+]8HhLkp slm{ˀ2JpQ"]CY";G&ޛ/pʝU[}m+`iOPC_tZܳ4zP nJDN4 UsWv\lH୎!qZ8xxOn˜]d<9^R syY.i|IVd\%P4k$1XKݱI=VL?̇e@h?3ۇ`kAӺeѲ.&$r9ߦ(5Wik ekdt֎_P}CúMSoNvmxYZHE첗ÄuLVƀTs G8&1-<,!<쵫xxw\յKc¹ w:@vÒfgUG*GX^8]aĔb;D˺=k,"eiawYdhؖw[,c+eck||GթG.$A^wNe@$wIz J~Q h ;h۹J`C}\dZ 6I3֯O%H"0RՍs+ql}("MuֆTzLn֞gu.%H?$cE\4V Ύ%Z` m?G C\2l;높HU)D5uU@ܬw6!keٔ;|H,-NCƇX<}pk||:E\/,ǰh|R2=O6ƒ' AX~=0AD@׶cuYX]aq~H]Of7D*+MV[;.z웲lOdcVMRfZ;VU}+t TdYEXaÂʃa@EԺ^>i Gpz.ht΄Ё5q- h[ۀVW6.8G<'pAtH^Xee{^֍ap]Z_GA=}XmI=SZ`2b!t8[ MAO?^xH+$j4=q6y칾M:rYHgR 5:MJ⭟@_7-p ?> 5EV5L25L2]AuR u^5$,W'7:-GaNX|Q^!L5Qq$ P"cI*5y<tލ^8dOG]͹Τk_Kkt^8%!qSr'@9%LaC@䬼ޣfA=J05c;F8K60f55]WH/ paNj)2q~au̮}c"sd%Q&24߫]C&X |DG8B+4q浰z=whւ0KC`뮣[-v"(*-NқQKZ}Í1^ WW7`nEѩpLΆI'@ B[-8qD5XڛcCOVۯ svH" xU8;:7t#[]Ljf3UG&}e_頍Ru&?PIJe 5uk2K}:9wA r[-ݏuXy{ 4 '@CE #8u%tW~ۜᕜ xլ:||C}]^(>]~^wI1|jS}gՂ oo[ukq8IIvPe<4* 3r2"5H#g@AATtޢ0' {dB@[β0gˇQA:4 $1,}0$xԺ;*:O2R;ZhtH ϗK̓ˏrOrVKamZ 䬁AIk{% N.L4hAُK55k pVwL},A@e M;_U_5!|,Ah]v5aA<$mN@%e^)STEv>69㒱5sŇs^nq`kIlĎGwY [ƜD'DU0d];q誣Cm eduZ'i@e-@:ud8~:OA>op-%ixtU D#CMBm@$*}C3 =LjVL 1#\^Keġ Ul}:]/qkX7uVzM9Y@s΄KE"'RT!bd;0ZWG1+k.Üq<,Y~;;A HIΟժy NaXmYiU9]'FyMiaյ\F]6Y>&-{O`ney+8 +J9Z7NٹGY%i.[{DDD -_f;rG74;YI'a_esRct;-%32z{˚ƤݎwǸpV8Oƨc^Gh8kc0ukj+HWiʟiAܬ]̬4Qh8C]|4@34DnO p2LfJz[$2 U)sBtW8ű$Z^LJ 0Ls@uUEdNh]9S`?ccվ7cS%t;,nÃXcϹR%z DNk6@!9VgRy{Ύ<%)d5 W]e/yQ _hVUvC1l I0^1>5qb!,s VM`=3q18 a'R!NZ Np{pJV9U6ewc4Ai{kձ53VpB@i,"G663$ʡ.([f׍ |UA\:8UI2z_U: je-sC*A+rۻ}>=N+$Ɠ%f>^/}C&F$uqEcY!GRȾۜmL{yZ0s/h}u;lNW!1qu¶ ԍ!u}?cևZsW(l8BzgUmڀ"!3'FbdQhuNu MaWcȯc5r+ {@V2XE>apW9A[|H՝x2'^Tّck`:.}W%οBGg1ZFa:atl*m"\4W$£[!_"XdʎA!#ĺˁ2$ustԺXT=KcR8 ^^9k{5I'Tk,,qsOe*2/nqitu:$+įrH:,^Zzv{Kv?xLdh:&JnH 8pS Am-$|'R44*{;]>v܏As!2#kSufZxUg^Lp=E}g_X OU:0lXR|8@nrq{&L ZOA>w~1Cj:8HYbq<VV'ʻ}akc_"wv\),pд+gc϶8=f^*u#T8H,:Ys`UQvU9.cD#^au._{}a?.oMk@]WnK͏:l> G=I]SG@Y"a{[s`sF-fǓh%w]779Q%ǷBDߪuaGct_[ӶnrY:UOpx ;L$.&\Ot)$t E 4u!9@JXSF00uK=ʈ0aH$Â09-:ޗҙMmȹZ-A:` ~u{ {O0 yX=yP*¨Ynk;z[ki{@V;#@Qz/d cv^k ,F\\t-ޓXʙZc]M49i<i>ΑonBL})"5?pLx챗4=A" wUHJ͈ԘY=OV4om4\Q<*RbCM Tk2s@-[!am8ր8Ӻpkk ޮru:Ȓ;S7FI2ZHqD)m"2IqPk.oP<4K<.`*RW!绿بFt_JH> $O*LG0u *a qS<މVƆTݍ6@MNMr=v:*h Td!B v scu.\}9~!#/JҸۦcL20rMh 1ۚ׻e`w]&. hf6+4+&cqw:)hqi"|YA'}X2Z} V]ohѠ 7edN Uï"W$H%sYf}[9 kvU\\L%ZTlqR|QúVCFP+ѹMk+.act$@#cs'`:CIԎBID^,SZyF{C`-;a4ȓkb6ָ#P_WRb$,ަV0{AIdhuKpMTTh:ςL4  S86,t0nsj׵O!HV:exF<_{G=sv  fa2DI!YN\gM m/+}6cYun_ekH{.9PHfJ\u 6nfuKnace'.q:NIc۫1V:Ik}$x-]44hhQJdW4mdA{.{"1@u<ß6o!IIhyoZ+cv:-MvàuQ.:>\!FtZdeө֮7cqrI3NSZ&.pD-~C".kc`+ A@ |{U3#K;Uun;+1 +tfftVMϔ)1d "CC :p_jUiY $LT*dz⥜Gf,kcAs`FntΉܲ cj,cmsʇ.]\#Q[Y@T:OfMd?N dbl.:uۏi菢Z?6|xua "`r6XeMwwqY cǞI)]$Į`SXx؟=|"8Ɗ ̋LB%f <>e ۆ)nzk2qL ,Ka w]x'+;WKx h?yL8\ =଒DiNƗT.5|2smg\3zKKrѰ˖1P % _P錾AOpZ 4PFrKY]֖A[^hbL]k wZ$ַk乣`JV Ժ3Muu?%sLIR= IԞˣ=?,jDzgB۲:| 4PMM^CIp.ļV湎؅شNu|lWc9?i%&,hTCW6uj PvPe^,ֆ3ӬC#@.+h +w4KT1VWf=0ZL hԃ->Cs!ď2'BČPuYf#cpǕ[.*<{-~qn F6/ن w~_K?@ؠZ [kԱ. *#jh(E]Ѿyu^/uZ F^N]74.ILf8MgHO~R&<ĀDRRhM#J^<҈J@$dR9T3NJ]N' r'y+=V;V%g  븕cQi-ȱ\w8 .$i*k 4sYB\;rTDÝlN[?r=a*@\;@dhh+lxO$>kˏr5t6t%d1Ӣou+q[6u!0'WKR&t8!Ms]iZd*!ᔉq< c>`.ӇCbIc];S7^᫏ov57mp>)k:US2MV8}S:vL(|,N˩:0p-pshO ~)ԧaKtX;G%aggߘb}* , `N%ŕsA:;1 o8l+/WPE?[|#*<:EKݣ"=՗];oo*@HQdeߌx#4bYQu_tVTr4m 6D*nWNSeBr]4I nt~1=FL~\ 0[V֞`"rݽA>J_PF&ʄˈKeFM]M,ϫvf^;:[2IZ69 qihD#YxԓcOEsˀwȗ9IT~efo/u#M?zѤvGax<<;ٔM;* oծT㽭 +G;c`㶶;u Άsmu02`^?)j wmUn)]fBkp3MF$aHcjIVKfAN|ѠR>Le~ Y[dO'x={.Ԟr(KpU8Y`i'P0(Թ"GLO #M"#;D 1Ӆi'RO>HLae>Zu6>q $;Nń %&4||^Ϣ8SYf4pe.q<=JrHcbd&dvFyHijCI{-ܜ`KAu:9U=6[mhth1,A> OU!>I<<rn <}c,+cs;F+ll`VRf@%SNJIsiW< $wCķ}GeԈ@#@ v=0o]=@5XdLi0 l% b{+hDzVAe0T]^`=*jDt =dȭ߽N+X3 ol1w9ݿZ};$Ioi ѵ4Jyt^W/Or&{x蕽˫w ;wy# bʮ \#^߂qs]C4vV:j`sM ^7:Xjzyv+,yusy a=[]7)9h*@#_U7n.G/G-K; r1I>)7˸ 9: ƅt8,"A ۫pC6PI#sA0<1нYa,ޣj>>ԎʖW_:+qq\)I%lq6;{Ԟ+x}#76Ryw:VKXƶ)0sbKO+uɢdn#(y}b[<B2i-E=>CokOr c1:h=ܿ]Qz`\y@7l7WzLn|o\FM6A%uq5&VMee5h*J!e?ff ˉٽ;#Cs@Ԏu֎ʾN;.c<0sZ4QtHcrx].6Kr+ot1ݽ5y ́dQP=ި5T:W]d>hT2~Ye%03Kw9S)IDʷ"Zs"`ƆH΁^ۓA (hM4|iU}?#AF|p:Y@>Һ+q빤8HS2uth+m:]i\O]oNCK2HV% h|QSvƿr -;~Vٲ ҥŋYn rJ&eNnȵajS24nq0ԕ}[7Oe`K*<|Jhu2hjb;h|\v_:Ѡqϱqss.q&4y0uIKJO Lh>)!FAH 'XI*8JmJH)G(1!.R:M:%(((:JsƇT)Ĕ@iRBJh 3Ss=<9HJC]"*8=Vd0d{_Uˀc)׭pY*Wz4.ĸO>%,XzwtW/N`:UxnNHX2ƝI.V+` `Фq"xz>+kǥn󧐮gt2͇~i̜,'n]T^ B[ s;YI yw R՚Z&K\ ?fWJ'SuUǸ\:7RuXLK9\.%ZvZ}'*C`eM>WS{`#a8pWUF=8Պhl6}Րݮ i=~M9]?C$A୶=0=._?_I僰R&[2OORPrhlXAʥ_XVd_{K_k= Il+2M'!U][ πSŷ*D`LƊNws3UFhALȵ/,xgBG-l~Cj99r&v](n41-%괼 h;]οcgYQt+nj/K;5Lf*ĭι S/y 1>iN,2zk\ZC-cpW@ @'tUs뽏,!c<:7Ce6f@v^M`qɯKպ='^M\:q{Χe8j~F%!#0Euu;!ZAl1p}qV3>f:;NQX # ) ` L ;^{&7wfgt(ՆCL#FG2QШh^iMU\<w*ݐvjJA:F,瑠'T3k: !KarIScMX &@SlhU.uggt\= n8^h=,bV%sq~ J'YrWcH0 k{&e_, ݯSΚ)lLFUkq +i-t.mkqkvtsˬv߷]YRElurZuh΀co\.4AY-ԂXs:JeFf>{%1 OY@tUpk iױ> GU-ߴ*Jj>#F>j8:x\[q/&uRbI$X=s6d`L+'2I;+:=&wF0 {Vȍ-m7=x,`-`s8*V{id ZvW!۬#H·g1{ D?[%OP2lvAۆ:Oe_ to9G򢵻-~uX@a᪸袓4W/0#r9N.4*32 $d7K ':*i@QT:-׵;{ΰu+_E>=KAEQdL98{Li:ƒ*Nudq`#J& ӝBYiP¤sorm|Wx;0qW0>=КGnU&4'A?1/h԰qk1J+|,G\t!4pqUwL9m]N̹cX\.)68nn!deQ_qkAJZ׶SF+8!JY'gQ5NƌhO5^YuͦqὕQԕUұ1/x}LLv?fWFo-gc+=l0Z||̄(6+ѣFŽPuY?nkSi\ăd>:+j5X${,lj[s G*k\BfkQ=Z6KjRRL\smqyYQQԞ'zWN5xcOſ:cNUlix&IPz!-${ u$]^E[dW:VFI:.* r&f[]e0 Y=Cl"+6]']4OD# n-=*Sk7ˁ|~ &㶲 SO0 NzM1„!pcNLNRBgTJ2 Oe(:)[Vgd]{; ]M$` >%2Gh.q+D :DWT,nԝ s^ø#]i 0%f+`"J@h 96CxG\M$ 7=č'NN[0vRZ=~*-n|П_ښCyZfHwU|<#D![llDB HhIWtYNS!Ւ[S37xR0dzۖ<] MR7p9I~;!$ ~'WGeֈhs_T\)nGuMDX=GhsL9fc :hCG D#}~IjRKԃ0;2,iSIvCבsk:GpᑐZo$[5{^SHnNFIx vW 8]An415@!-pod'LU'9KuJxm:I3}X5p0ACɺ*u8{3)E)ȲLÁ=C52#R9*B/  Zy^Kq'^J nQWوmoy .44eY@–UMac@c`G!( B` ʯes:L,Yv=8sO+6nt4rw\Rƿ!ΡZ-L^+, Nɿ%H2'D#Q±]ݶCHJیuY,r3 _/?ں| 0/^yS;(kh#P9D2hhL;YgSh{F\<< 11AcCX~W050M2\;LVd,O~e:r _HGR}X'R5+f-X9&{|@,:oOAlnccՖC5k';g}Zh@-#pddY`^^T^=UH/gCx:NuyHѵ]Kd̃M%VY؅Y ۮ!۬[ tp1Oswxt|Lz&oܓϙW2A 4T.eIo ]7W@Zx Tx<"An8U%##eMҫ!݈| n-\ BC*q;|CIП&,-TpZrՙ$++ tɤOk8箑+_W[|m +JC;׼8hѣ Z 4lhȆvZx=7._B];&hx5omD%Iְ%·k-໌eyNfh_Y0q=OlY[KR(qa ǻ$J"{e`Wa&yGUVO2 ǦZ cu5^ͯc6PA{2;L–kcKGM}+>~juX (2 8h7xu\tPd5WI''̫ZUlrmnvc>uUnED{ju bJ:~>%L4{.p˅7DVEm#0V4NL|֚'PBN!@M$4c% c<;[[aHԦqƗ-\NK7ek%_l*ėv^y^#Nm/ YKx.ښy&oNK١&H qi%vtp[cDFkK΀ qﱎ%ii(f_pcqž&V?Td!c8Kx([f>1-V<=9"cxRFӞr?H";ea͏~Wk婱Y3p[Y{@q>jNpyst v#BJb2 nn@{,Zƺ>nYu /- $5*V=$q:䫘=/ɕnF5j4nO(E {@U:GCN#sˌI%G'rYdߓf]s>K94mowy+7idk]M t,"&OWIc^]GE;}v2HY}S6K4p8&7IuQt^H Âh h#72"N'O:BAF6OӰ Өo`mub|Ufad$1rS#2]MZv}]TO_q8 fhheM J! pPTRoӠHƏWݮ\5Z3{0gԺuv:#W<ƴ2?E㺖WTlM-uIѐ(s7DŽ}k|Nnf0 p:VsG6[uVS79WԔddکi.$ MӺEcղ,8*ebiH`G+; \% NWï"%ŮpoR}ttx^AFr6 k:mL'K*z5qˉY/swaW7"$M{PJY(.q}?yZx}n>gVW:wEe _{yY4@ O5iy>; ϵa Ǯs=C]>-'C ;-p}ǀ8(G$\/Pv9K3VzNUMcOG$xJa:)s;O~wsL@Ԓz_C@ecO8c(oe~>Wcw4>pgt!Rr~hZx(e"948qr`;hsX~duGzT@pGuqE`+ J1$2x9"$( %,D$u?)rDP]5IL)2&`'@%.{x3`d d4R)L:'>)IO: IL"5 =HQ"BJcHRMR”O4 ))cMDO撖tsqO >i!`{$$xO%1;b ?YǺ[#i?Q&R`ƜsX<8ir:Zh:[CbGĪAT̯ 0=<⹼۲ 4 mn~݇G#2F1gt1`}qW9Tc@$IX kcaմo"]gV<)ϭ Yh-$B%ê=$:,G$y_fMopO3IyՎqmrx]~mLh;kk[c@R6ûޙ}q.WG^vCeFJ P glz.;ÜKZ";Wq2-,c@;\Tƺi'U,ʖ7^8:+KaYŋJU$|*vCMFq>pX߂ų8 ^8(:!0`kޟa< G LrvĎt/i,;c{,;X ;G]$]C~=lhwĦlh/{9+cݶUd<CDIVQ+j˙hm!a}RCvw+<%,.I 9~eM#W  ٷx0 GefԱޅZA.݉\O$x^g_;qyQhp2 '̆G Xh< Ǻ}joPw]vQdh(蛫dAk<W2v<~YeugtX0lw%fjVZ<tZj%͓a`Y|*|A*}%CC%Y?@L§ua"cB$H;SLMi@ϳp1JRt`{Uy5Zu\n;/@:Y}cnP|S~SHe~sOuv"Q  A.v4,:\k뵯-6$&@^/ijgB{ytguQVTtī]x8/h!Gouޝ-i W y)<=/yq:PAV@SS'ħ|$%, AJ!%(OAIKG FLnJJTc⟐s*Wh3AS)RJFy$b \BE#2t-%<R"y0R81Qǒ8td{뷉+kgelM֟B+^OG%`q{!؆ G++r7Uc-:$[8άӹx(%VCyA}mlĹGdVC8hP'PxN>=K8pT.cga-A2uFܖ}xi&\J1@(IQiScU\YxZ;J@H2DW-cPVGR9'l> f]VMR"^yGwP-"99"m@"@^ N{ny*0H*y ;0$ѓ);,8pf ~+/p+q,:wR ZhbJ {:.[Ĵ@]7Hv#+ÝC! Z70[hߐy'')85N@JDW-@3W5Ժcu;H= TNvjJv<D y\Z5H=g3*?kHY`ϱZ&hb q5"Ydk:WAd&Ec@E~ZMGn8`{*xFǁ4v XpSuUz}!չ/`^a(RO+Ȯ>1U$T 7Rjh\Du.&dIHI<:Ex>ƙ ̴jx+,4`@왖|*1ŬK`wq!II2D6l}d5ϬlIp|>m!;ݣ~𹮷f O֒fOꂗ ZDLVNFVFE۟LaBqdal[!7ݏcmcLf> 0?Y*kjŦI+ZΝ) @^`,qaA^-sa6Ez['  w:{Ѻ'^D)  R) RN@ 'TҐ'4jƽԁ "$a%(`uRJb$$vKH :EJ" )Ωy$$HjDSHR)ɝD&Μ 5O&9EJ3)>iLF'@`L@SHH uHjx6̩k )DIJ";``HByIL|Z $N<#uSa$/ixH8Q3%%7:V^UMO+]3fIw,q*GguXlt> ?W'`eC@s-uGv!>*8QHᬦN|Ӫ+¬2.v،XǂA &IMtWuXLy,گ o#4]q$A1;^ OĽ2AmuDxh+'7YCA0;CMQ ke%otrr[<nҩǫ/%o$<.S/$OPuK6?|~*$HUMy'u*k uNQEK/y|k:Pcek<*DҰkɼ65$v>Eݫ٣Aجmuoi!S'X/[}Ih0'/[}p{+쩲"x ZlKI8$E"_y524{ѺVJykH?HfFLc]lk^:XC\4!y Ш3:-Ffr60:#썰xe͍nS~\{ˎHnsh8̕@|B1,vM,+ tVWYIqv5nֈDu"t&e6AOΏc̑(COa@V#eo! NJz8?$jLWɷ&]"tfeWFoِZƲtMUceM?d7PY&xd]M,~tw]yty9-L o$9xCaij ԉL׏imqFxsr)a}Gw+Vsiym;k;&k%v`Ceܕ.nY9{tS^6oD LդT"y{U˲AЉ-]}4tiNdxl vJD E:y1WKQe/h@ha ar<U֪e)W=Τ^ diPcYIjtޏ R>^q-u3ҭt!06O]Shst->t]M5vB: 4j_&XZT FlZnf 0X=`4,v}ceB4DmZ>z?I7%6n}cPÿ2U-H;_c^Fڹ.w.1 A#G%K,VV[[e5QvBIӓVwR`p#<䚒H]T:nu hmt9Gy츧 cb<' cq:xoXCF~Aigu[s\eSoUsn̷չē{xn&O0ìQ7 ,[ekw!ԹiZ7SL>}ΎRS'QH]U[øZ/<^juNKH+ķ͖hZOQGtU={\ۀ{u OkXkZ%+sCg u"ØeFpK.MM\9G'`;+PN$<t44I@nj0DURRcJ >)vMRLO> YSRHG $)MdT(Q  9MS'DO j5q);N׾12R j$R  )c`J~xH> )@'?,6Ha& QOpuILI ) 1%)ăƁ>SS)IJ&8 MR'";JQ::j:R7ZR@=ERS#@Mu9RRRtʐ$$vT|Kmv049$.3ֻfc?ڑH2MvC{NhΪ^a'U ]>I5`}gsOCho:, e.a[=vE;Ue@IzR;wo+-.#U=q{ݹǒnmO ?jҎ{,'X#tB&GeaчXeC5s$MwK$&gնp:Uukap|?.iԁVͻ$<XSB쮶zy*uu#Q}\~+us,20ƍ (*)T1;*iTft3&:_Gm[oH3Qn vΞ$xQ~;c~p]xIVHmHidM7m0<ӆ~\[ٓl AE?{?ޛGDZuԙRiNG@~K'hy8TCV~h &]NNɭnݪUH#5,APAnAGIecs4YC O &QluE-,x,y} <m/ސ:4 OZu[=3&004%ǟ$ ΔİG~>Ǿ ND8QzAeg`;Z--: eU ,״*C**p|DsULr.8$ S;Ja<FHU~YaFe?Ew@6we׶Ƃe^+S8[Σ `` nm#X@ί@P"鬛4Buge66CZ`wMnStD⡏} V1ÃRPM$`:ϊг2伽EkTbVz,4 q 5+{LNR@6VEX%D{kےavДc%IPƺ[$^^ vm+fo5-% {X³h6jufbYa550zcr1aQ`p$r1+h"2r+XIh;wM bIN(*v+ntqB1j),IAỦD^5l8MD-d (R~E.{!w=\Ü;pOe;l}/ۜLYYY`<*8cUVNuz0­ Ē~g7cK,{}7$ L0Ȝt*p:P']!R@XǻRxZ!YT 7u셕uuQ]AP6 sYyV<"ex+"s`cI"c#bgZ*l~`%i ܚM]D~+ဢVnk*sqy#uX9?5fقyP:XGH`c{|8) #N uCd0ָS⨑Z/f 5+7߁V9#s%:X5FZۉ6; ÊD4v)$y(|Ԡh[F@ELIN R#_hyJJ}<J&rHㄔTi)D$h:Gm@%*>;&#))Zh#HJJcSq[dIJ!0#)q2 P9Li*X>iuNt甀%,t:'9M̀4$LBy6SNwIKtH;)ILF# : RRQ;'O=RKㄔ$ J (%*.˽lkǰzqkĤQSv9>rjo}ooc0x+{6֊j lG: {d CF<5UiJ,cӍ;` $xNk֎esw]e:Q4@I]Te֊$.N=nuެΏұ멙Na!J's/:cWAUf̓TDY\6WO BN-mn $K[7Օ\X ް_funz8eSOW_CmwF#M5iv9#-bkvDJq.$'G b9#Ae6^l"dNg6xD [<. a^Dtx%Π̦2UYH\5 7= n%Wǡf>) ̲ZwQ07y ,s ѣ DpYiluIx\24QvcO+h Z41Ni"|jxN xUW9}Gn+Q`1|WSeU_aǚ纆MWFy*XYsZִyU}dĮz1ٲRcDV̎bL+?7 1ĉj8jKAAy[v-\e wīBS.[r{'I[j˲uHxYm4q:BTH⺌3C+f\#@ .F`{<{bӯrfJ"N(qk#B tqK=͙O.3fbC-IF{A':s#GYK+-t"I$ɒ~ Un;e]v\PFoۮ<(XZ2l AD"~  溗N}NksU|LQhsD+b\: @ec_s[v4h #*AigC#f\\0?2SxZx{۹O"8Ł#v=7)X :f۲4pD  kGJHVM)EhYK {>VjHSv|cH.%P]$-Km>_auc^鮡'BB| 1 RV@h@ϓpGFBˣRp 8*$+܎҅߶,B9#`c> o0=ׯj S'괆~vdG?:덶hi>u;c8aX +c_p7;tzxa\5^:mxGʰD*2`hIןG2v\yM"`$ x̜k\էw Yv4-: HuCZL%sR ?GPtzZ8449mb=66\@I Get<<}ItqG@eK 3[ d<p9+aUչL1:e/`IЁ+G$cK.'8*pw5$> oGߌkEk͗ HE8\y%B:Pp!14J!$dqpI-;$H:M%0_IW0SIAЍǀDBIH))BBb$>JP Jc)O qE%, $k:jR $0jN)JQ!2JcƽҒ5)|%/"%)H@"a3O%2*$L骔R%%1h )a!7tuJD&?!%,$JDe%/)BGOJ\Dc&&RRP(=K!=#M'RRe! HvoWEwBԺ=5c~KW8ORj;g IZ{sdbf^*I;xWf* 8y. *} ܔ2d7U1¦7\~|j2[`$9#U3$TwNhQ09}F,eW,ic<Mς cA}_]u {GX]Gۚ֝>%QG) @'bmVN{qlf^[C Zo#‡}`hfN~ F}3Q@E|GAuI:Gǀ9+Ks ~h I7Z?t'@7%KrE4c5:Bun*GG4i!:(#p~KH$\G*ӺIii0#D%Ao&K*Hm^\n>imy?p9)t[tkGN8[it܌ݕ:IV2:+lobt q۲p%07˄CY7Jki0~M:h|Ş#i,6j| F^^GHUrd@Y ꗱo{yI'I|qo]d^mn% 4]`mSKuWq:e/̊G[3cBt (*=1a&4f9TAخ8 B;!h1lȀVv9#G]t>>j/Rx<@ khl>=oPC VMnI@4B/ `>x J ,=WXjz]xyIWwyMb E <^5`[S G30s]Aҹ;)Ė̈OlY$v֗;@;c>.1.oV&; a?Q hu8{,G{g'rzwE D$4Oܩakhセbdp#W $Ž ;s~fM çv_pgiQ]TV*4Fy4owY8LXrC~;:gj8 {=2gkl>(f Elב^}ݏZەaf:L6uԲ6GLz$t2¬$ iyddXm2d6<":J-h jL'_aL@Ɗ:!J'TS|SȄkxJ'gS@RRDjR)|JZJR=d$-[KK${ Ȟ1i@V30*i/szgVkU_l;]2ӫOu_շ"\藧hq@qEinI77 rF>oceAӐA&%819$wU3jÝE'Ee@{ּdOf]ُqQſ%qƾ[d&ΈVHåpt6o{H;dӨ)"QШxp kYG(©NvA(udFIpkC΀%JK=KNց%j 1JE.Qpm]8T&|oL fJѫ:c+nlIʼ*XCMWZk>Kī DH\{ʹ;cX~Ѐ SӶ`J\)5쵲 ith9U5]Kecub68O|QzX}A Ϻm/I3>ZXr95{˕N& ;v<,;v\%P/G01/'+~ AԸ4ttdWO,%vW H l$hCpaǵ c)40>KW;$a??'6 k|_`|85s xR<;-'VǐT2:Nf-a4A>aC)>g>h`Z4.aWϯ!YsAA Dg]RøjO5-c]e$ޯ]4b e;9Hkį,w:<>ETiS&ӈ#^EED)$HE+Fd )ꘒ> !4i)FpBi#O<鎩)`3J 40RSSϏ)Jh$$D%#*S\[$ >i8QÄ8Dę$*t)۪h{RR̦$i0IJDR2"$vMN? )c:)D<"FJPR&PRi靮)yHSAi $N )xk BP{&IJHxN!?EGtS x"ϟ)Σ7HZ 2<ԉ^4QiBJTLD0rQ q'V_P-5F+.ri~2?Z?V{tc*[+gK3,>{-' $‡&R4E=X5ֈM}-h Q6A\*jt e^}pOr̡QZ%X+V@T-^^KB@_YZ$7O1u]/$؉tc01e5l 辩VAG+TpSAʛ:uMMyU] ȎV?SܘG Bhi,#RU Yt[Y.OhPDznp=m]qKK"OBrZ&Ox8 BDĝ{(8 ;ꖎn,X7Wf3;I]hDubJCtz.~'Q48 -la luD*lcgڍ#bnhO̭.zƓNccp@<8(2"]lWe${n;L_0C=Mhty4Vܮ[+Nm'sF#%kR ͝uLhNV=[c<˝@O{{Фo|FWW WFªجC@2dh)#ҁy5-HS>Fr;&f%EKIkU@93ǩc&Hd եӺ-XmYiZ FJ/`;r]-->f=qG]\G +bb!>>:zXe-i ~Q솊VAO$]I&oj$-+K~dn_s~XX kt h>k_hؙ)E )c鱻k UspّQi<ĐI%I2 o÷&}?O.pq-y!ty5_[ q&\Sj!Rv+x2F[-†9 < zs|Pu+3 ]`Dc=Qd91|U}At{u$WMXK64hqed{ -u5{otwQg@{{|HH.8I! y Jv3h x \Zc pǏRg6DL+^r%]7W97H$5Yƀi}ڞ4E] ;%-GvNRFGQp8<$L'#DѤc]xNH:ĐJD4MBfcD R RRШdILI?" rԔANI&iR$LS&A Oޔ rTQ:I uNwNpH2}RRH 'H r`J*QײpJ`PRILܟDĞ)sbfLbO(\ Qf;`@BBgj!E鰺Y O6h;FYx)ߓ~Pi>2 @Bǂ}v3S~TK~w'GyU]^x ˟c8q;8>۲4'PdݧGLa{4xdݏ{캺۵xUEq$}ɾW'P;l`#B[q\^A5LL<[:X{'JbNU_+.v\FS0۩V]ӽI7I,r$F}4e n<@F2uqBī'1 *:nUl.{`zls,ap@{cÅpK] ˚Q- <-~2 `kk]qᧄܘ][[/%bgu7WaF Ρ~[mcGu\6Ǹ2wp 5*%vI2Uܷv+[V7j=p{CTjváحN!x.w4A: ;4#RS ZiLt$ԛS"ɀgMISmANDod!sc[wd>&s~eBx!$x#&JF3w\NU+KȺܗ٩ 8'}J\ޥ~[΍¢V=UcuANCөn&$qh7:ͶU 7}]FCkaڬF˚|a$32s@ ,/<ZV mjy)cVm:-/q28 q2{1] & E 7`%t885cV @.1$,p mgq<{]i)8H4@UJXhz%MfU{7Ռ+"܃& ewDƣI%Dzf4CF{ S>6mq![W_tm89߻64Vom{S7lo}(a}ܨhމi A!xZ]Q,ɳe>IА{aV,r.!=ʫ4~q 5ie$JccWPem;2Pqr`$h"'R޻` 4RۚSA⳺X:HuDtEWz 0Y䳁"Hԧ-w5muf41*,Duc> ˜_:`t<}Fb;BFGKMF):Q MBhxY]S5fӡ?ɧ ~e7_aqXZZn ZbGEw)iԞS"F%]\mwx51kFs39aЃ y捣P=Tez쐩#&]0Qp٩^5kch$ 5c+;Rf w8'/ݖʙo'Aߔ|<A#q<*8& ~I G[<^ٶ?X/=l2 X >\W$=kH 7co͑-grA7Sh{Ϛkpz_Pm1[LU)2{ILF)0i*a= e {<)'D<Ɏ@D襧J*Q )maD*nM$rU#RAF9'"4IKD ){$LR$F)i n Ӳ[|8I &?8)G<%HRhRO))IOR HQHcĥ2^F%-#8 B(VhL)hD꒔o4x(Spt Da)Z8Mt >i)C"5 D'T= 4uHp☞x%/)D?J G kۄd5.q0 <&ں _f泒>+ ;I, );$S8(%%(Dr0tIKZSxIL <' ?ΩL IIJq= pNiN#3 )qtϒRbJe'>.CeHW'OqkJ}GT H3pOΝf#$s;C [1?!i<4G*$Z@! C)\kdx(BJf@#dI&:)ARRς\I1-$&rSȉIJ*P鋍nA-,#u :74cp8*흼SXMsǺ-%2O{8 LӾ78Ūd0iW2~ kxCۛU#>oUˉEV5a'C@"|&jֺeǔ>^["$KHUuJIAJPxۨŴ` X+;`߂ ny .k#[,l4iV!:P^cXF~qXSِUʁ689&YcXCGGTely!$䭮hO7Uu`D{@GdܹD{}&ְ- :]hP;.d!CmaeC4uI).pV ί*N:N~96 U;Z# h^uz0YVEh|%}KAI$=%;!2HuR O'ݔP=q̥&$I"RS<&IFRD$3OdgT) NH0dHLD-R:qr: EJ<'TR:蒖Hqx4))h) 1B ׄyKB:p:pBp{$"<'&N4@)!10|R$'TJ[SƒKJ\hJbR%)~ 8I+wOH juK\ )PN4R"F)a & ))_SӨ ='t!q"d Sr@]C,qxCkqǂ!']\FG-$4Jpt%"LBc)(!~ydJY)F{JI` =B)3t I+8䘭<-~UD9qRK$qHRv8"u.יkaac #(䊵`{AO931P㵛,d֐I0X5 uS;>ۉk}`ʖ;s4ޣyKgwiw+ѰݤDP na^5a>彋[ۦ˞cI|<1r]At> O A)'@*q~] 2ޫj&ͫˀnC udɁ)t }.*|p❶NA]%=',`VWRzL*AJQhڎei1Ar=tlt:TYq֣Atdbp9P&`08PzQ Ӷ4hTQdSKF&L$IS .4I [:DHoe?[Ӡ2G0:~htg>B4+ C.^t?F;LWT{EՍdu r\Yh2ʫ/q<XDŽ%Wb \y2J}=Pkpѽ&)> @#x 8M--Z8!m19GqW/ͤ8> k7<ˆ\I%&Eamn|'S,8CB$ mu>F vNsߓa.' qI LYZYSNv1,Դ@=^_gxp<$rkmm&/:M'F($gaUOY܆n5` FH Ym5Te rr m+]B1PtqbPI4uӔ]F<6a.,uhB@Aʻ I%I )fR9) <$ Ӿ|4 )D!1䤥ƩNA^SꔁtyH.tOJZZ&= )h0HӲx<Ka%2&2ׄ$#T9MR|RdL%jSTG @O))c$) )vǖ;sLy$e\\N BgZ]Cn0OuӺV%hstDZA{?p y'J]b=PI2q!tN]=WZFk7Yx՘.n?Z:F+q{=:9iͼUu8{ضum5=U/GC}ᣓ[etk_ʬ[Ƙp(n$%#BQ > Df{)D꒘L'O@gTД$hHJJPO!;HSpTJF>i  ()dԈ$H:RSc 6;u^>^/W5YÚy ƈ^-0GbI@) j> yA 5Qו#! I,y Ɯp$M3Ɖ)~u*:%LVc߄%DfK"X~B8+#2ƠDwYCYX@> !gAn)&R{̝IS:+xc$KnI*]3-6vp䅴  iKm$4AF*Ԗ^$ |VEDz#G.#*z˞ pÊ&[t[$"C$(5%FcD|;U{ĀyS[m-lV nS[(cX!ce0uXtU'9>(V,:EjrSlsI}Bmw[=GVeMtAwhXhjU |>&|{ΰxxwHU)H^2 gW}pDfF+Nc㰛]JXQyXky #q"e kOV/5LIfF xU\ Y<{mAYpɌ0@>i죴SgtɥHɞQgXv]C` vdn@Y{)pBT!ƫ.CvC}A iVEI[:#\F9'|vִG;htUs.tX3A :Ai./SA8}MٰǷݍE~Ch{W+̋}FFǚRhT~-kEb25q?TgUv\k2{) 5m΃eGm`Z9+}+`83,mlDvH!^3qB-"|eY$5)D^7;G};y<^m hc-Np&YZ#ψ _ 6-rs͎fb'SH hĐ&H'G'Jp & 6N KDʁvJ'P 焦4$#HRIK@%/ʘ%.cQꘃ )iBDs=H:!('FFA搒uIJSFA$(LHӲJT H#J%?Jb5>A'꒕Ȕ^9")NStJCTDIQvJ5OAHRSq]TALy)A)< 蒘FΝILLdX)Ac?Q! :' lE, sʝos\msLQHzTÜrpj\\un`:ֶ(|RT6YMP y%:}GetW@:Q#@\l[Gऌl6 dߺŔW;P>O{HL~ GR֭oK(L8 '/:욱`,]dmiW{8QeLUJTHL%3 SѪF`(w )&)lO$C:VmkNƎ25SpT\4oBCT "O8~(!Z}ف^mu,fb.O7ɰpj+͠x~2Dӌ`O|Pw:860NCpv՟w^:}ՏSL}D p BG7V,a"{rzCp:3#j~RM6ߪn־tUk'V{3EO^E6S+Bldܖ_BiM,s~ ^r.[HɤQ#nn:6Gp&zoY!̩ U'cqu9 ~P;hॠǔǔPdHΩRMWݶ)(68"uHA:%0D endstream endobj 289 0 obj << /Filter /FlateDecode /Length 3503 >> stream xZIoF7PGr`ҬKfKfY$# hSԓҤ,{n罯nYv`sikQ}zQuYermªXuMW?kUnzQ:U2* 4r ~L2U*&/L|~xR+.8 U)'pb(p9ˋB;u.0/~J:MK\sUZurvivhpl.vf[a:vտߪr.ӟ/^0#YW@TI@}ZyWՖ}2RR88`>' X Pp'N/4+]xkUscf:Ixu'}ubz3yoڱ "=Qa<&@ amEوhɸ?uZ&.1:YnSVw;Uvo0%ǩ\JJ= Qrr=#yZ'4AW}1pbu2y w&6әetW}رe !F*iY~65.Ϡ5V:щd\ŌFq^Uo?f8Br:jM&8hu#40o 692p ce%yrAO'IX?kcGorхtW 9EChj3k \ey@Lέ I[␖}ehNZ+c8dI]# ?mB bV@GuSf7 1$`ȀJ[BzIZw& Nu<0?2grjljmܖa)/H]R C'AK"|ЩdF}Rҝ[4&7&}4dDQL2 ![-HCЛ)#Y@ $iFe"J\ZFꁶ%H~jF% &oD%HȈx0 ˔RQJaHi "D< |'%6 :jM 7MHƐԓџcLh>8pNf2Ѹh9>ΈҨ1-őI6T1"4J6^ıXi!G$AyS */XꞘ#P [0(yM)LEzZIS/f]c}Fe#}Y}[Lv)2A7j<':KZymձ8]26-TlpKtdPM7[oٴ$FG-;4xSѹ;}/W M=or 耻5 B&w%qM2s]e 'pgALdl 2CW3Ҥ](g*Q͔~]cBw!hŗ:ʶon!dAsvbCj;vԇIZYl?i2lxA*NQ [>K:oRo>Y/ájlB{~ }%lyIf˗gEȊZD$\xad#Z*ih W JR~?7JI lC ɓcC˃ZixZ48{4VMW~O쁹4O Qwn ]MxSq  E5$::ͧc lUτj VnIeCY- ou$'Vݽ:Z(X$A)ޤ6́7OwW3_ϳ!VqH2Ҷ⛡E |2; "'fO-Ob ,oHK6| ~i1)JdH3:=< ErfwHJ/%h_vO밿!jK00_US]zt~w̦*JU#Ý]r\ItH0` D~l&ߍr@;^d;*0u.ʛtOMUP{sgɒ&S8.l 8v, $/O)[|nm 5#Q@~Xu+qA0M(\G7@`ܧܸ:Yi߆@+\0>؇ȁxl>#&9{5#[Ίl>BBDi#Mgߧ7)NRnj6w#6mad(q${ϑc"y N|Zܔȑ$5(? ) j;7K*ҙS)(W'`JEL&Yn/EQPR)&DxK P{Yɤ}8\G\˷a!e9nPrOa9.X^lj m\՚mAK5Åv֭ 95ɏ{)}G? qU 阕ճSP @f4Po%8"nJ䲷qo(P|a v^BaߪUX{@O `Am)L9}֒߭oFh rr3aح"%(ڻi\ױ&8{(Sq& |mm%@3B yˇ ưQ4?*JhV$Ilr/YO7dq$X]^KЪէ> stream application/postscript For use with FigureWide tables used in Xilinx FrameMaker document templates. ug810_cX_NN Adobe Illustrator CS5 2012-06-25T13:46:47-07:00 2012-06-25T13:46:47-07:00 2012-06-25T13:46:47-07:00 256 240 JPEG /9j/4AAQSkZJRgABAgEASABIAAD/7QAsUGhvdG9zaG9wIDMuMAA4QklNA+0AAAAAABAASAAAAAEA AQBIAAAAAQAB/+4ADkFkb2JlAGTAAAAAAf/bAIQABgQEBAUEBgUFBgkGBQYJCwgGBggLDAoKCwoK DBAMDAwMDAwQDA4PEA8ODBMTFBQTExwbGxscHx8fHx8fHx8fHwEHBwcNDA0YEBAYGhURFRofHx8f Hx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8f/8AAEQgA8AEAAwER AAIRAQMRAf/EAaIAAAAHAQEBAQEAAAAAAAAAAAQFAwIGAQAHCAkKCwEAAgIDAQEBAQEAAAAAAAAA AQACAwQFBgcICQoLEAACAQMDAgQCBgcDBAIGAnMBAgMRBAAFIRIxQVEGE2EicYEUMpGhBxWxQiPB UtHhMxZi8CRygvElQzRTkqKyY3PCNUQnk6OzNhdUZHTD0uIIJoMJChgZhJRFRqS0VtNVKBry4/PE 1OT0ZXWFlaW1xdXl9WZ2hpamtsbW5vY3R1dnd4eXp7fH1+f3OEhYaHiImKi4yNjo+Ck5SVlpeYmZ qbnJ2en5KjpKWmp6ipqqusra6voRAAICAQIDBQUEBQYECAMDbQEAAhEDBCESMUEFURNhIgZxgZEy obHwFMHR4SNCFVJicvEzJDRDghaSUyWiY7LCB3PSNeJEgxdUkwgJChgZJjZFGidkdFU38qOzwygp 0+PzhJSktMTU5PRldYWVpbXF1eX1RlZmdoaWprbG1ub2R1dnd4eXp7fH1+f3OEhYaHiImKi4yNjo +DlJWWl5iZmpucnZ6fkqOkpaanqKmqq6ytrq+v/aAAwDAQACEQMRAD8ANfMP5ew3rmWydolFS0dO XX3/ALM1hyE9Hopa0iO+6RSC38q2wS7ZnkepjjShJPgTWgyIxmZeY1OQzmSU+8uX2p3q2lxeWc1r pc8hEKnjIkr0qC0ZMbMv0FcycWPwyxxwPNS/NCzjtuEtxaercThRBdK/AIi9lhDOAPnTMokLMJLa +QdRn0pbzk3ruokhtFiclwx24uNiabmlad8iS1mDtHXVra+9KCAvcQkpLG4px4mhBJ+zTMfMY1uw ROoGzuZZZNUnaO7Q8YrVF6EHbiN/U+eY8ZED0jZBUL6a6uDFbasBY2zULOgDBz4F6nhko0N47liT 3oe3uNVt7F0tYibAH93cMgDhCftCOvxfTgkATud1BUreJLS5I0PleEr++LgFBtX+8239hkpGx69k +5SZLR3Fy0zy6z6g9KDgFo46Ar0A9642QK/hQqajK9xJw1utrGg/cxRLyVm7HnvU+2CG307quaTU TYJFeoYdNJpJcUAl9Ovw8lBIWvTCBEGx9SrLV7m3M66CrS2YU1kkWo5V3MZNOR+eGW/181Cna+kk 8cmlO9xqz1N0JRQBSfi59loaUpkiTyltFLrthLNI2rmRb9CPqUMS7f8APPry6CvLEX/DyVddNdOs A1391ZHcmOu7dvVp0+jBChfBzQWo/wBJCykGmBhpvL4Hb++40+Ixg9vCuCdX6uaQssVYTL+gOTKV rdvPX0+e/wBrvz+WSnuPWtr7W8Sznm9VWm16blFJGRUMrDYD9njxplmMkcvoW6TLWrrUYfL0UH6H hZLhfSknjIcKxPwj01ReLfyn+O+ZEckTybAUVc2p0/Q9Jnu5zo91aqI1lswHbcfFzhPp832o5D9f HLLZgsX1fyppOtKuqSMdEF47rHdyKDBdSDrJ6QbnCGPWnJcNtgLA7/SNU0G5a0vrdoJ0FRy6MP5l PRgfEZEhmJIKylYTMysISDVD2rgIUzZtpHmDUbS6jdGa2ukVVhqaxGnYqduJ6d8oMN2HikF6BZed 7fWpf0XfWQ03UJkeNbhZDQs60BjFAebE7Dlue9NssiGcszHrTyVpWm+Yoke/kuJIVNwtiVUNVvh9 LlHVpGI8eNBvuMtYiac6r50u4oh5X0KwjtJbllimnSdZHYkglBKAijfZjkTBJzHkmNvBeLqtvpOu tNqN6LZ5LaBoedtbiq0+Nm4tx/m6ffldUkI6xsL3SvrMst2dT1C8WkcrRcUWEE8hGQWBCEbnb5ZX NhLZNNd8zr+ibW11XVEjVUdLS4SMGhVRzQn4SD0+eCIKLTeHzC80X+4u2EKyfaZ/3jk+1Av6s1eY mQoHhDk58sjs8/8AOWhajFI9+bP9IRqpVkmLEREkksqJw3PucztMQIgOJKNILy353lawn03VL2SK FISltwRS7NSgV3JqB9GZGQFMMnQpHoOl615k1pNCsHb1WVnX1Az8VG5O3Qb9TgMkjc0n0935q8lq 2gmQpeAEzzkAn4t19IjsF8e/SmQ4yGrJIxNKFudc0yOO+dJUS7HNXlUlZOW/LfrXrXKpxE+bVZCs JNOu+LxRzT6vKeXPlQqfYkcVUfLKCZR/qseJTv8AilwP0+XkSn7lYq+iSP8AJA5cvmcMDt6EHzWS w65FY1nLLYqfjRHHrqnu9KGnthBiTt9TLdRt1uHaWbQkMFnxIJkIo56fu1IND7nJEj+PmvuUITYT SRx6fFKdWVqyTSN9gg7mRujD2GTIkB6vpQ1eBVuHTV0knvWA+qLG1EqSPsAfZPucYcrjy6pVZoL+ K3ifWH5aYp/fRofiFfseoQPj38MjHhJ9H1Kpww30kU0ulK9tp79Ii4DOO5TY8MmaG0vqVTsXgnmj TRFMF3GCbieXcce6t15EnE2Pr5KsmIjmltr6J7nVZmBhkDgCm9Cp24U8KYY3zj9K2q3iyWjW0mvN 9agClY1SlFbb7S7c/nghRvg2UtJbahNp7y2zejpztzFpzAZkpv8AH+zX+XEkCVH6lDViPrkytov+ hRxIFnkahJbc04b1/wBbDP0j17qpyNAjHTnh9XWOe92WABJ3Vuex6Hpko8uL+FVaeaTSLpJ9Wf63 yULCwbdSo/32affkoSB5bMggpbua+Z9R1KC6lsWLJaScisatWoUsytUeIFDmXFsDN7a1jvdFjFo0 NxBPFWTTpUDwKyrReCpxkjag2+Iue+LMMJ1TUtXksLiy1HSobjToW4JG6yuts9B8McyvzU7bgvjb K2FvYpJNzKgfyqBQAeAxJQSyWx8t+YJ9K+srYvPYHZGI+P3MX7R/EZWSB1SMcjyC66tb2zVomSRk gIdoJwUuYB9rktaEbd+mFrIKFidop11C1lNwIjykidmWSh2IYqVJBruQclagszt/NVhrSW0Flp8k Or2hZ9OS0CKiMF7luRIAFTsAfDvhtITjzH5t8zaXZcJraG4hVkSa+hV0RJaEtGPiZSwI7fD4iuCU Wzipj6a75luBcapY2aTWUiObgzrxVwN5OKqyVp1+AZVwMCbVdJv49dtgywW9xfwAiTTpQFDIa0aL kxZyorWrcvDBKEhuG3GY1RD2DTLPSdH01GiLxkKARMwZ1p4UAJJzFMY1ZSZDmUmv9XmujNHaIEt2 X95ISKkdNyTQV/zOVmRltENEshPJ5B5qgli16T9wLR1C0QFTXvy+Asu/zzNxwMY0Woq6ebfMQmgn W8eOWD7EkVI2qBxqzIAXNNqtlctlMy9A8mrofmR0m1Kb61rrN+9ku/jPEE0MYFA1Fp9quUGYCYyE ufNkmu6dJcTPZ2Nm2rsq0Ekq8oohTjQIgReXud812TtDfhgOI+XRhORugLY3c/lxLZW7OZZF1cqH t7aPjTkd+JqQdu56DxycMpltLY9zXwEe9iSXNuk06anbG5v+RjDMVZa1pT+VR7jLxfTYMOLva1Gz u7KCCXUrj63ZxkcrYNxFe3+vT3ycJAn0ii2KUVle3FtcXtqy2VnIpP1ZWryUbtybolR4ZOwCAdyl Qge31J4YdKgFnNb0MlwSAwp/KASX+nJTBjvI2FUm9KGWfT7iJbvUpiAl0z1HX9o9UpToMMdxY2it oi6tp9Ma3uNSn+u26AgQnYqSNiqk/H9OCJErEdinkoCyuriymvY5Et7Fzz+phzwYf5TA0WvgMkZA Gjue9VSzaLU7hI9MQac0CfvJtuZHTiFHVfc4JAw+rdUMwiikl0toYri9kcVu2c07/ExBqG9hkqJ9 Q5dyERdr+h5oJb8nUeaFIlb7UZG54g1FD44Inj+nZKkbCSXTmvhJFFas/qmy5n0iAB9o1+17Y8Xq rr3pX2inWJ1ktP8AcckKBWKbStseg2HDfrTGQ4OfqRzQtxqVjaQtpMsUbyJIBJeHkwoxqX2PLkK/ zZfjxmR4rodyQmt/5Ktb7T31XTtQFzaW5pJeXMtIwEA+EjjzLN2AUU6VbrmQIANldyMtPMsF9bWm ja3E1u8fprDaKqQW0kYX9yZXc81Xeu2xHvkkhO7LyNp1neLfJqJg09k4xsrAD1pjRfQejACn2SST 8+uRLZEI650e7GgSQaqUtdNU/DbW4jjZoS/wiZ3C0JNCeIr88AZEJDc/lh5ehlt72KeRrf7c1tIw 4SV3CRFRyoO5JPzrgkdkwG7NY411EJ9TfnGAFMOwMa0/lHYZq5cUi7qIjEMe816XoaRsZWEkhZWl uAOUw4fsQHxb7NCCPbM/ECBubdVnonYU8u1azfT7ssSkN6U9VY4GB4Duky0oH49QDltOEUBFdeoJ rpVMFzGAweE8QasFNRvvv2wgqCzeVNf17y1Y291cnhOOWn21vA0gkkiBQCZweKGlafecsIZAso0z ytFZWGmWssa6je2+6LOqmKJ3UyFPgXmd+nI0PXwyFM4hIvNvlyyvL9LhmOmXUcaPrEpCi2hXjSIR qqh3aQqafFXbfJBhJhtz+cPnyxRLLV7SIGMbRzwvG2/c8Sg3+WYcsUZDm3zxOsPzUluFeHUFje2m dTLAV+Gg/ZVhuuWY8YjyajiIehWmjeXPNumQzaQkVrMlfVMTBIYq7/vWdHlkf5NT5dTaYqYgsJ1S 0hsdSmtIbhbqOFgBPHsrGlTTr32zHmGiQRFjNwKspow38M1+cOPMPon8r/Nek3ukQ2BCW17EoUr2 koPtAnue4zE7K1eKMpYzUZX83K0ueJ9PIo/z9qFrZ2DXHNI5U3JIBLjpxr9ofMZT21nAnHg+r72W qIAt89eZtVF7Ipis7e0hjqES3QLX3ZjVifmcz8GTiDg8VpXYa5wvEku4TelRSPkSWUD+UGo/DMo4 9ttmcSmj6a7WEmovPGkZ/eyWcTEQMFNeLVO5bpkYy34ftbCFON/01OBCiWAgX7YqJ/8AY0KgL88M xwc9080NBPEFk0iOGFnkcK17VjGSPi5Ma8i223xdckY/xX8EAq13F+hbyKaR/wBIOyFUSVj6qjj1 XqOP0YInj2GySpzWEf1D9Jyyw+mzCT6opYW7NQ7UrUt/nTJXvw7+9VW3Y63OUUfo8QpUFCRORSm2 wHD6MTHw/wCla2hFuIlJ0mOO2ZWfi16eRQ7eNa8/9lhMf4t/cqJuB+g7hGQNqMs8f2ZDykQJ3FAa Ka5GA8QfzV5KL2cD2Q1WWaFSWLi1o31flQbca8i/j+rJcW/D9vVUn13Xbm5mhK2/1N1TZ15K7Kff b4fAZkYcIA52lJT4nfMlNJx5b8y3GltPH6a3MTKQkMvxIHP7XE98S2Qi65+t6hOLq/mZpGHEEirB VFFUAUAHyxckQCe+X/P2t6OYbOcx3NhAwMKTAkR8fhDKRvt9OQlFeGuT0HWLaDzxFG1neB9Nt0fl PE9G9UbBSjVqKd+P05C12ISefzJrVlb3aa9pqt+7+r2mo26lEZkHwc0c/CCB+zx27YZRtrhkMeiD 8n+ZJ7u6VLcvb3rUjAWoBL/DRW71r0zEjiMZOwOoE4p7rugrNEkM88kc6SI7TwMqtHxYHkGIIHHq Sdh3zKi48wKeQX2nx2uo3no3P12yiLol7TiJCwIFAe9TlpDgSZV5d8labqkduluzi0uIA2oXUodH hdSCfTqqxsCaD9rapqMSExizzyzpehRWix6DMqwxM0Mt+394zKOVRUcT8S16fhkd2+AFMa1DzJp/ l/XbuOC9aYtxmub2NhcSzNSnoqzARoq7knf2yQFhrlIA0xzSNC1DzVq015NNcRaS8n+kXk7hmAAJ VSQFDNXb7NN8eXvYCJkfJl97p1q17btrF1FJbXIKx3FxaQt6xQD4TUKR18TmAagPSHYHiJHFJjer flFpepq1xZW0emXURPrWsMpkWRAarKgDNxYj9jLceTiGzVOJiXnt/oOo6bdyppd3KIh8LJKPTY06 ggfxy3fq1cYPNBLrWs2knC5BJHXkop/wQpkDFBgCnNh5rt34iSsbdKjcZi5MduPPCWW6dr08UaSW rmjfZZNyT9GanL2fimbI3cSWLdF6h5i1C7dlvJHaYbN6hPIfOu+GOhgDfVgY77oK0tZ7qeOKSX0I ZSeMsgNG9l2oTmWKiNmyMUzMltod5LHZxrdswqyjeSP/AFmUHbDG8g32bhs1PpENpaR6g10JpFkE iQ9IZGr9hEHfsMtjkJPDSVscX6dkluLqRbH0EYeim0oFPtSMwHw5M/uxXO080Nb3TXcSaOqRxWzO U+uqlFcIeXwAgLyNOuSMaPF9irrsroV8/wBWYXksqUkWUF5IwB9osB9n2xiPEG+yk01NYRWtjHqn 1lJ5mkDrFxHosxB+FEA2b3wiZJ4aQvgU63cS/XaWfoIaQr8MlDQ8nZgPh9sFeGNt1QqXDTqulB4o 7HmVF8I/henZajiG98mY16uvcqP+r3Gl331bSYW1G5uE/eRbySJx6MSorx375EDxBvsy9yNuvJN7 b6UNbeVZL6MGeaBYwyqKf77Fajb7WWcJ5dE8OzH0std843RaztUEVsqhyCooTWnxNxJJPYZfiw8A WIJTy08nacLAKtsZ0nLQzJOVgureZSVEvMtw4cu3y6nLW0BLYfLekac50jzBDFDekcor+1eWScKW JH7qoifl05dh7jKzk3ZxFc0g1mDVdClEd2v7qQM1rcDdZIwSvNG7qabZMStt4qXWGkq0aXuqH1RL Ro7AFo6htx6zrxaPkpqlMhLJSmTJLP8AL+70nTZb7UdRazZ05WtpGKSGuw9VTRUH+Th8Q1bWMV8y gtSv73RhLFf6eRrE8rO15PR4GU7H04iojr7kH6MlYLWfSVTyf5s1nSj/AKG6m0SRZpoZQojqD15s KofdSDgNDmsZkckV5l8/6pdy3HpTRwx3Q4G0tyspbt+8lZeVP8kdcFAonkJZDaaDy063Gu2gstOM Kp+jIQjvPIKMp+FFmQ8qGnP6QMlEhmIEjfknMOoaZNaafDqQMEbeo9rpVujyAwxKaLIoSvNKVNNq 4mLMToUw3XfPliiz2tvZLLxkZ7COQgpCZFo7PGoCOxNSAelcYtJmgPLPlC1FkvmHzGANIYkLbgsH cs3BWonxceXhhBPJYY73L0Waw0ay0q6iuVhi8soI2gtHU15LV3YsTyJckADrtkefvb9ohgdp5s06 5sVtNRiF4BL6rXEzyvKQR9ivqAKCepUVyjjBeky+zsv4ZWPNHWmpaDbuj2qPEs4PrCBm5QgH4SjS M1T/AJ1yuUZHcFwZ9lZMR3gSFe6j0XW4muSgtNVeQw21zchWEvEAgOoASpr9rjlt3sS6vLgPcQk+ n+VLH0Lsa1D6TwvxuricFYYgdx6IjI9RyOlSAPA5MkOMNmFapoXl5r2Y2KSLbcqRO5IcjxIWi7/L K5QZCZS39FajaSerYXRRwaqKlT9BGUnDabB5r7jXvNMXGe+V5ESiiZ41ZTT+ZwN/pyHhAbIOGBZE v5lJqQittShEFsP714FDsaeHL7P0ZVHTcO4YHAUzs/NVjZtJBpc0V1FNv6zqUZCTT49gXph8Ey3O zAxITK7sLTS/qt1BOJ7wEGK3YBvVJP8AutVB4+xGGMjOwRQY0HR28Oqw3F/f3CwyRK1YUAX0qf78 qOT/ACwmXBsBaaU4b6fVDb6bcotrZv8AZmVChlCbqI6iidMkYiHqG6FKef8ARNzNbac63CuKzSMh YwkmlXdR8Q+eHh4xZ2Vfc2lvplvBqFrMLm7kb4UCgrJy6iNFHwfMYiRl6SKCHRxx6y1zJqki2jwg 8bYAI0Y2+Jmbdh7dMH0bR3VE6PY6traLYhQmloWVrxYlX1VXtGHFKqOvHLeADfqkC2TQaVHprzwe X44IrKSNhd6nKXlKhANnDtuSW6KBTCN+bOu5INU1PT7Wxg1LTblLPUIx8VzFVjNKOo4NXiPEdPHD DIeKq2RxILy7qiX0vr2N4ujeZqkLIoCW1yD+yy0KI9fbifCuZtJB+bKhr9zYaJdaPrv6PGp3Arcm 5lbmSx5L6gijcbKV48HG1B2pkeGzbYJkCmJ3Fv5h1OVxY63aXk6xenHZQMUcxgUKRh44x+NT88Bg OoY2T1Yr9f1aGNtLlZeCSU9G5ijcxup40BkUslPmMlSBIh6/o3lK10+ysoIh9b1prcTrdORLbIeF AtKn4S32Syn6OmUmIciEWQXY0PRomvtQkQzFAtw0xPH4R/Kd+XbiF39uuGmUslMR8x6PeecmsL4X kVvoTKWiNwhSZXUfFyPFiQ1PtJt7d8hkyiAa4QOQ89mFaV5S1O9tla8f6ravtZQItWlY7KwUfZjr 9qV+3jlwIDTwlHa/+W9xppj/AEZeG9v40M80CqFKhO6EGpNegpg4+8NvgbWC7Q/zG1NbwnWLddRl iVlSWQmEptv6nAcWFR3Xl74ZVzYRykKP+MPMGo37WmjRh7y4ZjFOsamdaj4ljZhVVoD1PypiPNhx k8mS+XfJMWkW1vqElq+pa88io9lyULGzkk8g1BVVHKp2/Xhu9jybceL5sm8ya5pnl+2m1C8PO9lk U2liKcAUUhaKWb9ofE38dsAFtk5iLybzR5k1XVpJTrXqQNx9XT7ZFCIPUNatUcmFOm+I8nHnInmj dI8racI3N+glmb7IRmQL9KlanMSZHQPS4tXmj/EvuvK8CoWspHicDZC3IV/2VcDljtfNEd6Vrb6z FMIopuUp6IRRq+1K48JHJMe3cctskUddt5outPha8T61ZqSsQMyNxatCAhbkD9GROUg0W8T0GUWY j7ksmijgoLqxlhJFRyVwCP1Y8cmwaDs+fIfaf1ujk0bo0ZB/1mFPvwcU0nsHRy5E/NVhGnK6sk2y MCI34stfcMKHEzJ5tZ9mcB5SK+60fylqN4J57RIk7x2p9Op8SQf1DKwTFpl7MH+GaR3nka3Zi1ne lFr8PqryoPo3y3xWs+zeX+cCssLLzrpU5ltZ0ZqUWRSj7eAEy7fRgMoS2Ljz9m8w6BENqXmkzevq FmLuQUpIqKhFDUVVBwf6csiIgUC4GXsPPHfhKdR+crXVJo7TWT+jbYU5h0Kl3H+WahB8sqOPg3ju XXZNLkjzCKj1MWjS2mlzRz6e1A96E5CHkd+RAo+3jh4OLc83GIIRlzZ22ji2vLBvrV9OaCOgb1Vb clVX7HT9nAJGdg7BjyTDy3baRrV3LceYW5SxVWGwReHFqgLyIo7/ACrTLInh2HJIo82QTSQzQJa6 jpqQ2kpdNLtSW9QemQGY8T0Y+P0ZOWwsMz7mM63rd7bXc0OmJG8XAG4ghiCpAw2DHgPiJ/ysrA4/ q2a5SS9/K1rqsMbWlz6+pXB5BxxRWJ2PJRxVAPE5LHkkJVWyjdF/4X0/RLyHSLueJdXmZZPrskay W8cbEqY3WU8eqVVuO9e2Z0TbOqTnUNM0e7Vjc8L/AE2whCXF+8kUMySv8QEBhqrha0CvVQfhBwWW ezz3WtLbS76M2U/1i1mAksrpPhZh7qCWVlYUIxJYnyRsGr6VqE0lv5ngZLo/uxqsI4zxsu376PZZ P8okcvfEKT3pzdeZfOvlia1tbO5abTzDH9SmEayRSx8QKqxBND/LXbIx35qZSHJEarKlvYpd+a5j qOrupW00pCFgt6d5RCUHOvVR9OPWgp7zzb0fULq60bUtdvbqW5vGt5IN4wsESqtQiU2r3oAAMwtY d4jzcnS9SzG4ZbA3txaWwNjNZs13dPNK8jPwIQJIakKF/lansMsyzMYjvtsxwFpRqfnCytbS2g8u HncIvAXMhZwoK/FEjTgszE/7Fe9ctMtt2iU+kXn2lWGsebdamtYWWM3DNPcysDxBrXlIygsat098 sqnHiDI09H8t+XPL9oU/QrQ/piycQXl/I7yBCBWY8ear8S1VaDvgN9eTkwxge9LvOvm220C7kj0V YV1m7fnf3VC7KKUVaE8VI/lK4Yg9eTHJlrYc3nWu6pf3V7M+o3CX9w6rwuFb4U6GiBOKinSlKYQb aCT1R3lXyrf+YLoXNyzLpUBpdXhNSqqCeIqan9Qx5cmQiZMxSFafFt75gO+REMayJRR02xQVG70I 3asw/dyBSPVG1BTofbJgloyYwfelehWNnHKt/cLb3MUdRJAhQJHQ8QzxsvE8uu2RyTJFBw4Qo8R3 ZfavoGqztHFZyX0c/wAT27AejGFHGsKmnAGlSRTfKcc5QHq5N0yJn0MY1bQLGRinoRiynkYWt5br vGakejMF/aXpvmQYkeoHZxpZJxPMsEvrR7S6ltZCCY2KllOxoeu2ZUCCAaUarKOUpfMoVoFoOMjq fZj/ABywCPcGX57OOWSf+mKLs9H1aZo+NybeOU0ilnVlRj2AYDISyYRzj8mce1dUP8pL5r73T/NF jO1uxE0iKWdIHLFVG/xAdMMIaeYsbORH2g1cf4rQH6a1WChfmorsXrQ/Sa5YdBCXIubj9qs4+oAo qDzCs1IrtEaM95Fqm2+9Af1ZjZdDKIsbuxxe0uLJtkj+lEqulTRP9XX6oTsZ7U8AD/rJQffmMeKP Nz/C0WqFREb8tlPT7jWfL1wb22I1G3KlHjk+2FNDUMK+GGRExR2dHr/Z8wHFj3CZ6XrWj6y0t7ea gbXU0+JIyoRY+J2p/OfxwEGG0eTzU8MondlmgeatRvj9T1S6uLe0kUlPRJia7I24mRviVKdQvXJc XAL5sBLvVZIZTc3MlpLZ2lpMeNWiKJGyDcAwRsDQEVL/AI75AAZN5IT2Gw0T6hA8Lxaddw8ZF9GB Xubk/F/c82DsWPhv9GXw9W1MxSSXWpXEt3b6Z5iW7sIZZQ9pYr6YFxEWI/f1brzH7Ww32y+EBEbK L6qXmDU/8O3chtwl5NIoa50sJKLW0CEekyD4OJ+gda9Dkr72W/R57f6ve6hqMmoXErfWZW5GTpSm wpTpxGwyJ3RfVmthoWia1o6RztBZpGeZ1aWRDeTO3947Rlo/3XLpz3+ZriNuSefNj0eu6zpY/Rk9 1dwWmzW08LyQt6ZHwSKKryRl3Fe2QIN2EX0QfJ4I1tdRRpISWe2vYTz67kj+YeI643e4UDooab5x u4dA1W0Mp+q8KW8DOSF5mjFV6b96ZTqMfFKLn4AIxLPbH8xz5ltpYEtUtY0hrOOXLm7ChO4G3tlW r2r3s8Asm0o8teVbzXJ1bWxJY6fboWhfj6bSb/sFtqKOp6DMmIrk4QhZ3Z/pi2k+nW119VOkafCx ZwFihMiIpVGLgn4WNCQx38Tlh8mwABh3mz8xZZkk03Qy1tp6OPW1GAGNjUgkrw40qRSvceGECve0 zy3sHn95JGbiVLd2mhZqiSRQHanc9Tkh5tJZR5e8lR/oxNf1i4jtdNJpHG6lnep4huJG68siTewb I4rFvTrXTbG3trpZ/RTywkURjteIjjPEFpZGo3EhyQCGXfwrkfvcgUEra0gaTjyXmOqfqzCdop6n qemaHZfWL2RYhvxB3Zj/AJI75IC2MpAPH/On5harqspjs5ZLPT6FfRjYqJN9y/HrXwywCnFnO3aH rOo3trBA9yXC0Ti70UBdhWppsMeADdw8hJLO7bzFa2lpHBaxFr9TQXMDMgY+JbZ2Htt92UHESbPJ nHOIihzW23n++t7qQNJFGhASctGFgU1qfgiFXY/eTk4DehySZk7li2sXVnd6pc3FnGYraaRnjU+B Nenavh2zNHJxiyHSfKemy6W15cXYLkVDAgRx/wCtXrmDm1UhKgEUiY9QvNc9LT7kJZQsoJZlq0oH T0uQoPnlcsYx+obsbta90NEupbOxMd1HID6kpXeEnblKyg8gvXfJcIyAE7IVLzy/Y2dml+bhZ7gN 6nCQKYpidyiR0oK9qZKGeRPDyCapBW2i6brck0k8MOmpECBawosUimn2nainLvzWTFyJl72NWxa5 0CRLmWPT5Gli5FEnWqI5G/HnsK5soamEx6xusZTibiSELBcX1pOYLnmr/ZIb7VewOQz6SJFxd12f 27lxyqZMo+bep6bHeRqy0guOVfVVeu3Q0zXCXCd3oM+PFq8fFCuL8c0EdU13S3gXVWnuNOZwUDOx BC/77Zq8foyyo8483lM2CiQRuHomgecjptuP0OUvbCTjJSdHK2zMaHnxpuexr2yqNneXNwjcTTMd Yt7TUNIOofXI/VZ1eXV5o6tFWhWK29M8weVSqr47+OW4stlJYBrXme8a1k024jFxcrISdTuYx9ce P9lGduTBe/2vatMyAQtpHaXrJJxleX6s9PrEUTlC6/y13H3jAQvER1ZlFB5T1bSBAiqNXZGWx0+y jPONq/u1eT0yZiers7/D2GApsUpWfl+w0m2ee4mia7gJWZ5FSeFJFqGjMbB0eh+/tmHPNLjoIulN rOXVbRbe0tPSjeNJ9U1vUVWi7U/dyEH04/5VT4j0zLibZIeKS/1ET6X5Ui+qaZZQyTXV1KR68i8a M0jAE/F0CIKfrwmItMebztbwRW8ltLCjJOwBJFCD44mO9uVGW1IzTZr7TtKv2jDASKF5q1CPuyrJ ASkL6NsJEAsw8ma/qqXMd7r13cfUraP1IBJykJ6H4QQ1Nuh/EZYYdzVdKHmXz3farqDQiaVtEVqR WdfT5Rghgr8acviFRXDGJrzcXJKz5O0Hyvq+ruVjZ7TTZm5yKS1OI3X4eje1crlkiD5ssWIzNMz0 ryrpfl65F4LafVJ5WW3jtwkbhRIRVwGp8Qp18PvFY1Ama5OZLRmAsbsl1KfTtBjvtY1K4dklcC10 5iDErAVVUjps7Ebt4A5aDewapEDd5Z5p846h5hkl+uSvaWyKGtbJK8XPUM525H3I+VOmS5cnFlIk t2/5l6pb6Qpa1hlu2HEXL7kUHUr3OY3CHZ+KaYVc6tqWr6hW9me5lP2DIS3EV+yAeg9skGsytGwe XHuoZTM6RRRijTOBxQnoBTv8sLAlA6bZGyShetCaODSu/XJuOd0ymlmjP79nM/7NqCV416GQ9ffi PwwGKKpSit2J5uebVJ3NRv4ZOIpiSi0R2IVASadvAdcmxLNYfKsdppX1pr5S6/vSHINqQB0Kn7Wa +ee5VX60EKsU0vmeYRMFtLeCjMooZ2P+SSPhGQlHwh32xBtDxam2mtNo0KwztIRGt2AAi8zxrMAC GIrkjDi9Sq11pSaItvf+uLkxsOUMwX4t6/uBQ8T4UyMcviemq/HVappLKTzAsmoTyx2qICI40Ckr T/fzEbj2yXH4Z4Rv+OijdSg1GTUo00NEihR2KPdRgGMhPi/dpQCppkuDgPHa2lnmvy7Ha0SGb13e jAPQzDiOpIFeOZ+g1PHYLCYrkl+jW9tfWd19ZuPSu7ZQy86BGTpvtXlXBrcfDIUNi5mg1ssUrB96 vpOmxapcppN/ITayHnEnEEk0/ZLA8a+2a+R4dw7/ALRxieMZYuTQtd8heZE026pb6ZrVBbz3Clow K0BZfFeW9csBExfUOjnitkmq6Hc+U2inllWWfYtA4AEnKtGgoPh69sAkZ+mqcWcOEoaPT4/Mkk99 eH0PSrDHAtAyAb8pCRU/awGZxbDdDGo9GWXU/qi3KC3LlRd0PBqdQp6E/TmUctRtDJo7l/Kd0F05 /rP1lQstt0mIp/MgrxbwyiEjlG+yLpNbu7j1XS1nuHjt9PaVbz6qiRLEjU+JWYIrE9Rvvlcs5jLh AZEpV571mbUFsbfTpkOmXiiSGzjDKUc/DxZWA+QYfa65lYoVukyUvL+k6faNfwXt1f6V5ptVYWMc AIEj02SqAvVvbYjLhbMV1ReveUvL2sxRxO1rYaxEqK17ZrTT3mINIZuK8I5NvtJscLYJvNNQtNU0 28/R9zFLBcJIFltmBVifAr3rjQbOJFWGpQLdi31FGEUzBROhJa33oHWh+Knde/TGmE5M/wBI/Lh7 rVLW/lMN1o0MaSPNbkKLhyxVUAA234+pUbCvfIcgbYiFlml7enS782kqRizLHnFCoHAjb4K79R3O a7KOjtNMKRl2sUOmLqasslpK/CFlIqWpWhHUHbBjxW25c1PJPPF9cXN409wJGiUCG2DO37tqlj8J 7HfM+B6Opzbm2Nl7i5debtK9OK1JY+wFcnVNICM1zRmjWWOyLPbK7GFWIqBXrsabjwzHtsGYJb5b jSyvGub6yF3RSIo2kKBX/nbj1A8MNMxlCZFZbhnuJHAUH95M9QiDrQVqT7AVOSCCbUqXMkUn1ONx a9JrkKQ71/mNW4r/AJNfnkwGNrYoeFBsBk6YFOtA8uT6vOwWRYoI6eo5NW38FyjNnEAwZJFqsflw yaUYUmINbeSMqpbkaD1vA5jSx+L6rQZU1P5el0lBq8kkM8yEyTwuoSMcv99+/hkfGE/QpFL5IZvN XGUBbSwiJCOKPOze9PsjB/dbcyvNbFrj+kdBS3i+uMTb81K+gAdi1O59sPg/x3tzRxdFM2A8ryLe krdRS0VwwVZQf+K69ssM/GFcix5LpNLvtYH6XkMERQBreCisjBTX96/yyAmMfpTVuOrHX0TSIoxC WFbmYlWChDX90tfirTAMfhniO6Ltasv+F2e3KJdLOOULAqsnLbaT/J98lXi78qTyQVnpt7D5usCy QCe/cU5VMSmQ+O/T2zdSIy6bnyasQPiV3sz/ADT8uLoCaJqtnKXu4pXE8g/mHFkoPD4WzRQjw7W9 h2XD0ygVP80Zv8S+WbOd6SSrELi2elKFgBIv00yuGYxmLcXLg5juRX5SazZa15MGlaufUksJWhtp z9tIyoKgHw3IzJz0di4Xh8QS3zd5DvZNVZ7qVY7dYwLF415cxUnlIT7/ALOVwn4QpwMuIgsS1bzR LBZNpQiiNxGDFNKlGioOnBRtWn3Zdj09nitrtAeXPMg0v1I5IfUikPIlaB6/PJ6jT8fVAS+61We4 1Rr4oikvzWMgFdjsD4/Tl0MVR4UFldnr+oa231C0kFjA6AXjqw9R1/aSPvQ5i+GMPqO62Vvme5uL WSHR9K4x6fGedrBEOVzzIoXkcfGXYHsaeAzIw5hMW2WzW21y80SzsbTzBCjK7Em5Rw0aoPgeNvTD 8QijjwRaE7VpXLeJt5BgN95z1OEfVdNkaHS1dWht5eMxotKguwqBJT41WinpTCGKY6breh6jp9rF LCraxHORb6WEWKyleWtCyhWUkk0JYg+FMiRui0Z5egPl2/tZ4L8pDbBm1+Iq0kFuDsnGRRRi5IVV Fd+/cJF7hYmkN5turrV9dOtaMBLaXDJH6akABmooEw2CsxP2j9+UGIlsebkwzGJscmX6P5euZrZp tTtzaNYgRypJ8NXILUDV4sKD7Q2wiNNxnxJdr8Vle6TNbSadJewXCt+jBFX1XuAKCWJB8Qhj35OR Qnam+04RN205ZDkh/Lejr5b0o3Wkwzap5glfhMiRFVjCEqyPyXmkZZup4lyPAZbbSGG3Zk5x2trC 1xdTHjFCgLMT8hmJKQDRCBkdmb+Tvy2jtymo+YIVmuuXKOxPxRoBQ/vB0ZvbpmJPMSdnc6fSCI9X NN/zB/Lzy9rAg1XTJYdMuUVYJLMjihoSQUUUH7WZWLLYadRgI3Y5bWGinVZrbVbWf1UVLWzgiZoh cNGtDySp4Lt15Ad98yIztwuqTeY/J9xBcu9hD63Ac7m3tY55IrYFQVDTSVLHiamv6snakILy/wCW tWvm9eOT6rbqdrjfkT4KAQcxs+ojDY7tfCyC21iCwtzo4s/V1JD6TQKBxlan94zH+bqcxpYzI8V+ n7kX0QsemS6BcQ3uoAXtsoC89yYCf5FY7j6MBmMgqOx+9TsuuNKv/MEzahboLC3KlYiaiScf5YBo BkozGMcJ3/Qg7qjaxbX9kNHsbPjduDE8TCkcFNi9R/L12wDCYnjJ2+9bvZSgT/DV08moJ9bEwpFf CrSEgf3dGJpkj+9Hp28kclN9I1Gd5NXktkjgJWVtLBNJFH7T70r3piMoHovfvTSLmv4/MASw06Mo V4tJdstPQp2Wm9TSmRjDwzxSXmzXyJ5AuLWCabWYESAmolr+8nB3q4NSB9OGdS36OXhwd6F/OKPS 10TS30+NYjYXIWOOIBQqlS2wHugzZ9myEzKPkx1seARl5pZ5re8m0pFuA3GaJZo2bvUBuuc8YmGW j3vT6CQJBCN8gvb6roJ0O6QyIjkQkfaQtvUZkmjsV7Rx8M+IdUw8n/lDJ5a1W6vp9TEtlcEuYAnB lIeqjkSQduu2Wz9QF9HUihyRf5n+YUl8u3llAax+kQzd8Y5LkA0Zo+kvnqSgJzZOsXxWlzJby3CL WCCnNyQKVNBsdzkSRaqIO/LJLSM0nSrzU7wRW1FKfE8h2CivX3yvLlEBZY0y20vo/K6ta3cIZZKv Bcw0DyU7OCcwTE5t4p5PQfL2s8tIWxvbeC0MzVnVFUqVbYglzQs37RZuNOxy6GePJujN5h50TTvX EumW7w6e+0TMCELqBz4cixpXtU5kxLKSA0vQ447ZdZ1ciHTV+KCBqiS7Zf8AdcQHxBT0aToPc7ZY 10uu/Nk+pS+hqKD9GbLDawKqCBR9n0fCnfx75CQ6jmtss0O/sXv9LuruThY2EHox3McrrBJTYJLG FPpNxYsw/bO3fIA8WxZDZN9Q1a9jS+u9JjaytYpkgitLmAzAzMvJZraHcxS8fiC9KVPbJ7hPF3MB ivbKO5uotYu2uFupVa8MsUvrrIjEF1YsCHAZh8VRvuMPET0a9me2cfl3U7QS+XtQj02w0dSdVuRH MjywTEsqM4KytUR0b4t9qY2z2PJd+XnlHWLTUf0lFzFyhU86dOux8M1McpkdmzHd7Mz/ADK81/on RoryW1d9Rb4Ayj9yad2bx9syJw4ve52PNw83zpqvmXUdX1eOe5lLTEhYYx232VRk8cAGOXJb0PXv OelP5bt7ZFB1z0hE88RBKAGjCRt+v8v30y8Qvm4eSQ6MWk80azNpEWktOI7GIUEMaqgbevxkAFt/ E5a0cRRuiaP5me3e4sudvEy1qzFDIvgm29R3zFzZcd0d0UU7a40htJXTbaye5vOPF7VVIeOUbFpH A2IbqcxhGfFxE0F2UbOJNP1H1PMQMlxt9WvHJeEUH2VqNjjP1D92gGuaFm0/Vrn6zcWFtLZ6bIQX tC/CSQdyqU+GuSE4DaRuS0UZfXOl3sFva6LAZblCpgliBRbY12Z2A2p4ZXASibny+9PuUtPNrp81 wNbgZ9SkDUuHrJ6ykU4RbdT0pk8lyA4PpQh1sL+JBc3dvJHoPq8308sS6r2ZlH7IbemSMonYfX3o pMbi4t7+9tv0HHW5hIP19AUijQDdCab1G1MiAYg8bIbl7db3S3emC2nIBeMBXbpWmJPEKdrA1u8o 8+6VfWVk63MbCISApLQlG2PQ9Mu7HiYZzfWP6mHaZEsQroU8/L2C28yeXxYXdJbeKPgxrujVIHE9 jg1cLyyHRt0eUxhGQ5p1Y+WvK3lGSSa1dpJ5QKLK4cqVruKAUryyiVR3czPq55vq6JTrGvz309S1 FGyqOlMokeIuPyYx5nYnRrvfpE2W4R6g0ZfpLyhqA1227ZtXWFu7uXu7gzSBEJCrRRxFEUKNh7DB EVshD8gO+FVbT7a/u7pY7JWaUftLtxHiT2yGSQA35MCGY6XNaaHLKurIVupOTLfmsgmXuAeoO/TM Gd5AODl3KNkEukarNGbn6uy6d6vqppXqMGKdzT+GT8WI2/i70p4fNs8sqW3l885nCiWcrWONP8pW FGK9RX6MjiEse8mfEwjX4dVg1CQ6m7Szykv9YYlvUr+1U75mY8omLCpdU18csSr2d7PaXCTQNRlr UEVBBFCCD1BwEAoT2x85/VGdl023mJ4lVlaV0XieQ4qX4gV3pSmEA962gL/XYb66ku7mwiluJmZ5 ZGeapZu5+PI8J71JtbYa+thdw3dpYQx3MDiSJy0poy7g0L0x4T3oBfTnlLVIrGVbaQBYiao+3U9Q c1WmygbFyccq2TD8x9G0TWvLMyzXcNqjDkXkIC7dwa5nyohtJfJWs+X7CDWZo7Kdru2jNEnIpyPe lK7ZZjjs0yyWjLHS7uSkdtA8z0rwRSxp47DJmQA3aCy7y7omlWkzNriiK6XeOKfisNKVrUnixzDz Z5H6NwoCpLJrMbzx6ctxHorybTLHV1RtyYe/CnQjIVA/VXExN/BXv4dHjt4P0Jzm1OIAxPbDm5qd zORsPflkYSnZ4/p8/wBC7dGtOXT5ZZLnzFJXUVqBDd0jjVf+KlJo3zwSMgKhy8kgDqgeWtiM2zi7 i0QvQzGP9+I/f9oL75ZUOe3GjdF6imnxPBJ5cTnqEdARa0kiKV/3aw+H78hAk7ZPp80nyU7KHRnt 5ptYlZ9VkUiVbj4JV8BDGab+HHBMyFCH0oHmoQNqsphtdYEsWiBj8cq8CwU/AJm6qK0ywiAsw3mv vR0sdoNThPl4kyMQl19WUPbiMjqW+xzpuMrBJj+8/akc9nsghigt4o4mZo1RQrOasaDqTtvhDtBy Vo1sLuP6rqcCXNrUMscqh1DDoaHbLITpBFpbqeqaRosTWuj28NqJWLy+kqoC3jRRleXNZbYRYZeX 8txMXdixJzHZkofcmow0wJQeuJJJpN0iKXdo2CqBuTTLcW0g1ZDsXlHpXMk5gSJ2mrT0gpL1HX4a VzZmQAt1jd7p9/ZkC6geLlQqWHwmorselcjDJGXIqqWeh6lcx+uLaU2ooWdV+IqT1QHdvowTzRG1 7oZLfrokNnbrpZZtSjSlutsKzCnX1lX/AIblmFEyJPF9Pmpb02G2F3LceYfhvixCm54rDx/4rJ+A 5HITVY+XlzQEEf0zJFJDaLdfoMsNyv74xHrwr8RXLfRdmuNKY6i2kILf9ClX1NaC3W1o2wP+7adF 8eWQx8W/H9Pn+hiSo6db2Ub3E/mAEX7hgzXIpGyU3ER6Hbw38MM728PkoKQ3Oh3knrXdjaTCxVh6 QkH7wg9wo3IzKjlAoE7s7QFra3V3MIbaMySH9lew8Sewy2UhEWVtUvtNvrF+N1Cyb0D0+Fv9Vuhw QyCXJSqx6Hqz2xuVtZDGCKDieRB3qq9SPfI+NC6tUPa2N5eTelbQtIwIBoNl/wBY9vpyUpiIsqHv uo63p+mK0l1NWQj4IVoXPhRf45o8WKU+TbbAde806hqk/wATGO2UUjgDEqPc16tm4w4RAMDIsckR STQbnwy5CaeX9S1KyugLKN5nYfHCili6j2FTlOfHGUd9lBZElja6yst9rNx6PCqLZlvTW37AsGoa nrvmHxHHtHfz7196G/SurNHHpokFpaAmOPV3DBZIxspRiAtSO9cBxR+rme5FrpvT0K4X9DSm9kkp 9asVJlkYgbyHhy4/SMkD4g9W3mjlyaj0y01S2fUNV1BGlpRQrhI7ck/ZoT1HvkZZDjPDEftWrU/0 xrN8sVlcMNMhk2fUHV09Ve3p8woHIZM4ID1DfyTay4YaDdNHocgu1evq6eOUrRlR9sla0A71yI/e D17eaLpsabaXFm+sXupLJfqA8U6uBFC6/EqBR79sHikHhA2Wlq3+o6w8Gn6ghsraQVlYhka4K7gR llpQ4TCOP1R3P3IFlpriXRLp7fRXF7CwZntRykMBG3Ila7V8ckIjKLlsU3XJ7NYTfWNJs5+Yf1Il bkvQmnbIAU7OJ2Xk7U7YUsO8yAi8rXb9eUyG7dE7JMDucQglUDhdz061OFig7++U6fcS2kq8o1Yr KN1DU61FemSjzasnJiMsFrp9tHqMGpo2pmrNKW5C4qd0CVJPhtlvGZHhI9P3OvLcHLXb2urv9Vji oYtKJKMx47ua8WIJxJ8IenfzY0otrWp2iTWGn/6VaxkJDqARmWFP5WYAq3Ed8RjjL1S2PcqtPaWO mW0V/Z6gv6QZebOzFxdFjv8ABud28OnfBxGZ4SPT9yaatYTrd7z1l/Q4EmDS2JjNCPtEHix+jBL9 0PRv5saWPrerwxy2Vi312FGCJqYRmEa9+RUFTQd8l4UT6jse5JV5dP07SooLrTrofWgABGG5/WwW +wFWteR2HHIjLKZqQ2+5HJTt4V1e5kk12b6r6YYw2DkxFVp9v46V+Y/VlnF4Y9G/mgLJdT1LhLps Fz69kGCHVwrH0k2qpZdie1a4OCJ9RG/cm0RJZ6dpFtFd6ZMHvFAVIw/qfWQzBSOIJr1/ZyMZymak NvuTay1iOq3Uk2t/uAqt6Fi/KIKD1kBbjWgwzl4Y9G/mo81CS+1FQ+nWVy1xZBgG1FUZ/SSm6l1B Bp45KMI/VIUe5bV5baw0iziuNLu1Nydgqn1frBJHwlRXp7dMAlKZqQ2+5Lriea4kaSVi8rbszbkn MyMREUGSGPJfeuSQi9L0a41S7WCBkjqKs7kCg/1ep+jK8mUQFlQLZJBdW3le7ks5OM8cihxLGF9a tOjjrTw3zDlE5xY2TYipXei3OqJJrsssMLNR4rY8TGUTb95IDQk0wRzjH6GJje69tXn163TTLCGO Msg+syS8eKePppWrexwDF4Z4iVu1G1vrfyvcy2VyFuFcc0mhA9U+AkWtRkpROYWNkclC58uX1wr6 zcyW6XBIlFrRfR4jsz14nGOeI9I+aK6q1xrEvmNBp1hEkaOAbmacrVPHglan55EYziNyTdqNpqEf lp5tOmjSdnBeCWMqHY/siWp+H5nJGJyjiGy3SnJoN6gbX7loVmjcXLWSACLgu5HIGnI/rxGYfQL9 6COqIn1H/E5FhaD0bb4XuJ5OJkUjekacqnfvkIx8H1HmvNSs9S/w80mkvDHPMTW3eIqC5JoBLvsd ++Tlj8X1AqDT2DRLfUodAsl1GEW9z6YZ4hsBXf3wcNB2OP6VYty2GLNivmdONwGPQjKp82yJY3Pc xW8bzTNSNd2ND/DAEqVvcDULRyqlFeqrXqRTFSKbh0r6jp8sFw3pxkEtICOQWm567ZOHNryHZh97 pFro7Lrlm0RhryFjOQJBGxPExtV1rT3qe2ZZlxjhdeQFCaWTzTOqQlbeyt9zK1DMWI3AWuw3/wA+ mU34Q82CtB5jj0m3fTJIlkubakcLRlRG46BpDX4T/N75E6fjPFexQ1HpU+lBNcYwzSN+8ngaiqvq HlSJqkVFdsl4on6OSr5Q/mq79OELb2NsamRuJnao7KDUDBH9yLO5K3atH5kGkW76dNbo11bDjEYS oiYdKvv8J8cHg8Z4hyKLWQ6DLoqx6u8kUxjq1zEwCqFbc+kx/a8MZZxl9CKpu6kk80XgtoAtvYwf E0kgBmavgtagYxj4Is8ynm2dcfSbaTSJIYpLuEBLd4yoiPI0rKCRxPc1x8HxPVeylZDos2jwprcj pNLGS00I4qnGT4f3bDuOX8Ml4vGeBaXyzf4ouxZopt7KBRMztx9ZjSmwrsvxYiJxC+ZQ2dZl0CJ9 MaKOaSFa28kZCihO3rDt/HAMQyerkyulO30u806H9ONNBJM3J5ojxEZR6GiONg3y+WGWUS9G6aXL G8jrHEpZ2NFUbkk9AKZnEtieW3li9syl5qlmXtEJM0SOCwUCvJgpO3sDXMWepidondBFc011QLrC 27aFBVrYgLeD9ykS9eFCAW+QzGhcD+869FJvk1p95p2m+rBqYP6YlJE7FWlaYH7PFgDsRQUwzjKf 0fSxv5pfNpV+j+teW0i6GHL/AFBJAWQdauq9fGg6ZITidh9fegjvV9T46qIH0C3Yy2/FVvR+5jjU fs/FQtTwAwY7x/Wdj0U78nafdaPpnqxaijDVpSfrHJWlaYseqMARxY9BjkhKe8PpQCBzS0aPq8Rj bUYJW0aN2drJJQ5jXqCwH2gPbJ+JA/T9aK70VqZXWHim0KBmuYSAb8H0UQD9n4qFvoGVwBh/efJJ vossJtH023ks7+BxqtwCs8TgyyT8tqK6grRunXGQlLeP0qgo9K1aBom1GGQ6LBIZGtfUWT00U1DO BXkoHUDLDlgRUfrRuj9Scas8c+gRsbuH4TqIrCiKRTh8QBYkeHTKoXDbJyPRkd+SN0Kz0zStNN1r MLWbFwLmeekjzOPiHpU3fcfs9O/jl3BKZHD9KQK5vX3votR0q1vIWLwuilW70I2rluSLnROyCO32 d8ppkxHz1cvbRRyqhdmqoHzIyjI2wFsZhgN5bhJwCsgow7ZGIsJJoo+y05bZfSiPMDce2TjBhKVs K/NHWwbWGxtLwPcNLxuYImBbYVCtQ16kbZlYce9lx8stqY5Y6fqTS20uueo9jEPi5OXEQHQuq8mI A8MlPJE7R5uKyi/0qTV4ItR8r2cqekOMusM6wRugFGrG5qeJ2BUe2+MYAD1KUJaT6Npdo9nqcLRX rgG5idGkaZiK8gwBBDV23zHnCcjY5MUPFpOperDPqFvJJpMRZorTmC0SV+DmvVqDsN8sOSPKP1d6 EZqMg1W8jn8vqxuoyPW1BaxIoP7J5AFj9GVQHAPXy7kc1awn0PRrRrXUIWtrmQEzLKvqmUHwZAyk e2MozmbjyXkg4dI1hHgn1GJzpVs7SfVDIHMSDfkw/aA8OuWHJA2I/Ueq0itSuLbWJ4v0GryX0VDJ fLyiWNDtRiwBb5fdleMGH95y7ldYDS9Gsnt9Vt2jupweZYer64r0VlqPoNMlISmbhyShrbR9U5pN dW0jaPFIZF00ycnCEHiePfiafD1yUskeQ+rvVF6nf2+qNBHoLF7+E8zOoMXpxdCCWC13I2GQhEws z+lDWmLa6JHMdXhKTzlg10375ZgTXjsCVr4HrkpE5PoTyQCaRe3k4uUsZP0R6gkjsDKFLKw+0FJo Pl92WeLEbE+rvRTNbbS/LMWlc3uy105HGUBg6uu4VUA5fPKcmXIZUBs37UqRm7vXgsNcna1gKgxw 04GcVNObCvH5HARGI4oCz9zDc825TcWN9L/h2P17dU/0qChMSONqhiRU07A5EVMfvDS+5Sez0CXT Pr2oXlb+dviuviEiuuwRYwKgL06YbmJVEbJoUh1kvb57ew1q4a0tOP7scSj3ArtyPRduxyREY7xF n7mPPm7nPYahMnlsG7hQN9ZtSGMUbLtUOaV+QJwgCQ/ebL7lv6P8vPprahqF2WvLhqvdEtyjl68E jArRT7ZHjmJVEbIoUoSXOrX8lvY65IdNtZFGyghpyezMKhfkcPDCO8PUUc+bXrNYalJH5bP1uPf6 xZgMYoyP2uZoPubBtIfvNvNPuctjoZ0uTUrnUDPfybresWDJKN1VI/tbHtTAZzEuEDZFKX1rVr57 O01lzY2c1OispuakURmH2OXetMPBCIJhvL7kJtpcNpa6heNocn1yS3jLtoacmV3G1Vk6fB9oqCWN KZPHEzA8RkPJg+q65qeqan9a1BvVkQ0ELVCIoP2FQU4r7DNjAACgxt7T5J86WN+kcKVjrFSXTQvw x8AByhIABT/J+1kDFyoTZO8cRQTW7B4G3BHbMecG4SY35ngSQQ+p9jevfwzEyRboSY1O1jZW73E8 i29pGKtK5oAMlCDGUmG+Z/P1nLYPY6I8zzygGqK0TcT1JZwCoP3+GZMIAc2iU2J6V5bt9Ss/rM0g a6ZuC8JBH6MjE8U4t77mpqcskd9mklWkh1fjBZ6xM9rp9Sn1sIw9eh2FSNqgj7XbfK5YxHcc2tPt P1P9BagLPRwLtZyhuNPKl1Wgqsgc7dDWhaleuVwyWLkpTiS20XzVd3BT047nmwVZD6U6uzAfEzH4 n27txHRfeM8k79I2+9Ui1F9cqunam72WnQyPbzXpRg8gjYqAw6jlTvQY8EQbH1dzEhWvDbaRqCLo j87qTisumrykVhTrUVCnv1yECZj18u9eTtK07Tb76zc6xcetfAEzRSFovQA8AeI28emSnOUKEBt9 6gKf6S1u7slt5ZD+h2k9KbVAjKzxHbcEEgdiafPJDHEG/wCLuQjL6LT9Int20iYJeS8FSyVjIs6k gDkd+JP8xIyEOKd8Y27+5JQ9rZWt9dXEvmC5KXqqx+qkmMQoP2lPQ+IocM5GA/djZHvUjqGo3FlN Zx3DSaQjBX1Yo4dYz1WmzNvsaD8MkIRBBr1dy2iruHTNIt7a60eQfXHoqRgmQ3Ck/EGUe9N9vDIx lKZImNvuXk1a2zajqsh1+kcqofq9i1RGF7urg8TT54T6B+7+aUNLdaisdxY6PdNc2EZX1LoKS8Ck kFVPVulaqDt0yQhEkGWx+9DOrnTp9GlTV5poZZmJM8JHEGtP7rbrlUcnGOANx2VJ4pPNCK0TrbWM LEMGAMxele2wXfxyIrDz3J+SDuoWurJoaNpBVJ7nlWCRCFT4tv3p7EU3xlj8T1cgoNKN1pV1psv6 buJreS4DF5oSAI9/siIkbtTDHKJDgCKrddcfWfNUSrbtHbWEbAylwGnLUr8KjZR9OAVhPefsU+pQ t9fh0MPpDoks0RIhkiKqpLH/AHaTSjeOTljOQcSLrZQn0C7tHOuTT27zhzPLAwpBRt6K29T4bYjU Ajgoo4a3X3N1N5riNvYlLaxRl9eSajTnv8CDkB865DgGE2eaTuh7bWofLatpd0qTOvxRSW/EVJ6C XlTifvwnD4vqCLrZTn8t3tq51y5mge4gf6w8CLxh4r8XwtT7Xhtlg1AI4AD3IqlWTUJPNEbWVkqQ 268Tcy3FDIAT/upF5dPE/hkBj8E8R3RzdZam3lJ/qUgSaRjztpYGVX5E0HrVpx69d8lRyniG346J BpPm8v2etzw6nfWtuNXqxmgikMdvdKVIXlJQ8JBWoNCCRv1y/HqRfCy5+9LoIJoU+o6fZN9YiUyR WVqzvdQzqwX1byUxoTxDUVU+Hf78lQy7RvNT6feQWGsSRJfzx85ArEKamgEiuEMcp8OhwSjbbGdc 3efPMGk6TZQXdwsknquUgt4lDOzUqRuQAANySaZjyxWW8ToPGfMfmi+8zL9WtoI44oZCfUc81H8v HszgfQMIAhza5zW6dplvpulTSTLJdKrc7iRQOYZ9uXEGrBQtfiZR1wfXyaiVe20HWLeaLzBanhB8 L24kQJ8LCnJgTIFah2YVx8Xg2YlW1fzUmtwSaNpcPBJW5StdOrKvHakQXlXc15dT1xPp9RQShrQj yvQXPGa2uCCrx0E9QN6qSKrX3zHmfG5bfcxKs3l291uRtWMsUCzANaxotSQDt6pFN6daVwjOMfp5 rTJE81afrdsdBvI/R1lVNsl+ER7dnQcf3gLKzLtseJPscl+Xv1/2suK9ksbSJfJdxK12Y7iBmEUr KVEwYdeFTRl9q5Cf7308iPkgikPJpNz5ncai7xWlpxZIFUB5TTp6hFP14RlGEcPMo5q8/mRXQ6Mk KDUZP9FBUqbUc/hrXc0Ffs8cgNOb4725+a2stNBm8uf7knkhuIkSl0GHF1qf90k9T86Vyw5hl9PL 8dVWTxy+bZOcXC3sbaqhmobgkrtUCtFr2r9+IPg7HcleavJrjaZCNHkghbUAqxwmFh9XPLb95yKl DTcim+Q8LjPFeybUrLQbnQ+WqzPDNIob6xF9hAjEGsTEfa8BQdaZKWYZPSEANXFyvmyVLO0X0LW3 ImmkkoZTWq0VQTtv45IR8Hc7oO6quof4ZtRZXaLKX5NatBRWbx9YGlPYiuRMPFNjb8dEszjtxp15 D/iBvXov+hyVLxpT7VRQb9O2V2Jj938W3lzU7qDUNQmmv9F5W8JUpKWPAzMDuYwAe3c0yUZRiOGe 6KJ5KEV3oP6N/R0dpPNdSsedvxBl9UD7Rau30ZCUZk3eydqQyWX1G4tx5hDzW6gC1PL1IYzWtJNh vkzISFY+fVHvVbqC91G/kvdAJtwFKSXTnhHMVHGka0atKUqQMEZCIqaDvyUob7y5BprWksDy3kre neW4TnO8w2Zia/ze+RlCZlY5LsgprCe1ktX14Sy6WgH1eFDyWKv2Vm+zXiNjTJiQNiH1fjkiu9Wu FfVdR+t+Xka3niqs1+37uBwOgAoxY/Rgj6BWT5dVO/JT0+98s2GnzR3ELi7PwXcMyh55GP8AL2pX puMM45CRXJFhDHTdUgjtW1oySaPE4YW6sHMdT8Pr7CqjvSuWeLA3wfX+OSCD1Vr0RanqKny8jC+t yA18gCW4SnRiR8XsOOUxuEf3n0np1RV8lOxl8v2FvdxarBI+psALoTKGkk5EACE16d+uTkJyIMPp VcljqNtbRzX/AKyeXkk5/U+VZ1Wv7syUp8PKlRy2yRnH+H+8+xG/XkyqTWrDzCraXYyxnUjBwN+U DQ+gSKxTMwO/QqwUkH6cniySiPW2A3sxLzrBJoX+4W0tpLe2LLPNcTUZ7lwCAwdfh9MVPFR9O+Zg lYtjLZAam3mS/wBBtP0mJJNJgYs0a0+sNHQUrX4uAp/ntmNLUC6B3ZgmkwjuNAvtEWLTYlkvLUVS CN4rf045SA3AyLJ/vv4yAXNevjATNepbSb1tN03Vp2mMsLyIG/fsHRowBVFKheQ5V245WSZD08lR NrEmuXc+oRWtxcWNw4/3DqxDzyqN25VXjEB2Vu3bLTMXR+pHND67ounwWNqtvGq38rMLSztpEeaI gkkuVZ6JXxORgJgky5IkFHT4ILLVBJ5gq184HoXDgG3ACjYHajD3H9chP1R/d8vtVAyahdzajLYe XDOYr1uP1dQCWck19IAFlU/qzIx4LAM+a+5WtbrT/LTSlFF35hicxrMwVrW1YdWjqT6soO3IjiOq 8tjmSoFNaZq1nfaotzr8sk7qAtvyA9FT4so/p88xcuMiPoVHobi8v5j5Y528ThlupXotuW7cB8R5 H5ZTQjH97+1javbzeV7bRZrUxuswojwOo+tNL2ZaV3B6dsjWUyscvsSVH6lqwNld+YGkk0+EikS0 YxmvwmddqjxO+W8UNxD6vxyY13q19NbXmq8fLzFNSAInuo6C24FT9vY/F2Bp1yuAIj+85fam+5Rs f8P6dZ3dvqsUhvmWty0o5NLVq/uWB8aHt44MgnIjg5MuSklre3Fmkt2LiTy7DIXgtzQ3HGh4sw2+ D6fl45YCAaFcf2IR2oXNjM9kvl/fUxT0mgACpENisu1OPt/mY4oS34/pSSmOm6fZ6BdTXHml47jV rtWaJJKtEEXrzJUUJr9j76dDZONj0rVMrigj8wtJc30gW2gFI7aNqFOX7Tkj2zBEvC2HMtn1JfHr N5ZwNYW0qyQiUxw6i4bggI+z06jLTjEvUfkoK3UbFNFEN9DferqEhq/OresGPYCv44458dxI9KkU qR28nmS4f69KYLWCn+49SVflTq9QMTWLlue9eaBXW5tHNxp1qFuLWBgsF2xYpFy3IcqDWhOS8IZK kdixutmr7R4NOtE1gX4Gov8AvTOxJSUtvxRQK08NsiMhkeGvSkilsU135mmFrPMLK2QK01qpIll2 B7gfCceAYtxuUc1CPWZNDnuNOtGGpWsSlkC1/c79HYClB7ZZ4Qy1I+ksbrZVm8swrYnV7rU66htK t7WkSkdFApU/57ZDxzfCB6e5PD1U11e+8wTQ6dJTTYJVBuVbkJZ0P2hFVaUI/wA+2PhDH6uaLtTn v/8AC95JZ2Mgu7aRWf6mxLPC1K8mKg/D498kIeMLlse9bpw0lrqzk1681VPrYAe2uEJ9CEA1CkEc q70pT78j4vAeADZFdVNPMd9rqQ6X8NmLrks178VJFTqsQoN2pvXJSwjH6uaBujFWDyrep9SdrqK6 AV9PJ/fVUVEi0HTYjIxJzDfauqeSbWco8yaPK+r3ca26N6lkYVq9q6inxlqErT7Q+nLfFGM8NFmB YSTXpNcijtbI3Nuq3lRFq6OWjkQUqEIXZ996/wBuQOniDxfYxKS39gnlhoJreUy/WPhngk+1KRvy Sg2py7nDCXjbHal5KUOmDzHPLdXbGGKGsUVoh+ND/M9R1+jISn4Ow3VTh1690sfUbadJJopFji1M cvTiU9A3wn4hT3GWxxg+rl5ItMtT0+1027TW11QsZqG+aVVZpi1CwjSMIpPcUIHiRhGUZPTSaQ/m CW181XUFr5fWWGxtEaS/ursiOBRX4ZZG347bU8dlB75OLCIJq+STza5aaZYHT9BBSaZOGo6qdpZS ftRw9DHD9zN+1T7OWndIFMfqcVpwY1r274rTIvL/AJsl0yE20sXr225QAhWVj706ZjZ9MJm+rCk1 ttAkuo31u61BHvv762lT+4j9P4hzqtaCm+22Y8s3CeADZFLZPM1zrKRaZEEtfrTGGe8NSjjoRECO rA9D+GS8EQ9Xct2iJbePyq6yQzepaXFFlsnp6zsNg0ZA9960yHH4+x2PenkhrTTLjzNM99d3SxrG CkFrFu0R/wAsMPb6fbJ8QwigLUi1Ua9q80w0S2eKbUnkWBL2LkUINR0C/brtsCMMNMCeLp3JvoyH RfLmneVYbzVJb0yXtpbEzyJGJY/ikQfB8SfvORCg1pvXLOPjPCkABB3Oh3XmaOx1OWRU0qZnFra8 mF1I4IDFqjgB9nox6/c74htuSjht0dw8ezV9JyOaAlQwHY0ysRtIZGmp22o2KabYacHLCjoTSOL/ ACiwFTmMcZieKRZk3yUYreLy/exNqKm7RlAjvdyIiK/AFNfoOSF5RUVquay50u71q4fUbT/QYmUr GxJ5z02qwBHFe3fEZBiHDLf9C0SXQ6pbW1kukR6e0t6CY5bIHYk7ly5B69emRliMpcXFt3qg5NMk 0aeG91CD69aKABGCStsSegB5cgvQZbxjICI7H70cuapPaz+YroXNlGbCGFiF1Niecgp0RBx2965C P7oVLfyX6uSnZa5puj2klg9g8V+lFNuvxmdjsG506H/axyYpTPFeyAQEDN5bv7OGO6uY/rlpG5kk 0pHbjECa7E15U77ZMaiMjwjY96OFE394PMdwkGlwNxgZWGrsSgi7/CBQsfAV/rlcIeFvI/BJN8lC xvLTy99YtdQtG+vOrFLoVk+s16KDT4an+3DkictGJ2+5Rshv0BfmGXUpbYx2RcStoSO3xKO7EUFQ fi40yYyx+m/V/OY0ib3VLPXIYdK0qAtMwVvXIMaWvA17D2pttkI4pYyZSO33rd8m7D0/LeozXGrc 52nQiLU6s3KgB9LhvxJp44D+9jUOnRRtzc+mX2tLNqFrZmytZAGa29Ti1zRq1oBRa/r+/LI5RD0n c/cmrRba9pVxpyaCujPc+qxWSyDem9sy/wC7VlKmjb9e/fLI3GyTstjkg7vRI/Lt1BqV9O2p2MoE UF8yktasv7DpVwOVdmB7HHIBOPoQRSVTWVx5gvLi90pvqVqqNC85JU3DDcDivQb9TlYIxipb/oQp nVdI0rR5NOurEpchislkxr6hIH73mRShxOKc58QOyUnstElv7RtS1C4OnaJByjglkrKxPUQ28ZK+ o3juAO5GbCMQPekBDahrjS2EWlWSG20uE8vRJBeWU/almZVXm1fs/wAq7DxMmQCUVpilvArXQeOK tgmu3bvhQQi7a/mjjMBkf6pKymeBWKhwD0r75GWMHfqxIZbd69odxosWn2VuXuJSIra0A4mKQ7Bu Z22JrXvmv8DIJ2TsxLUNjdaXqMGp605u14rGbvkWFuxHEclpVhvQEYZTGSJjDb9KhbJbv5l1h4tA haByCk9+pKJLVTRStB1/V1yeOPCKl8k80+vEs/JmlSWEtlN9auIwklyBRLgslZFjkArQE7nY07b7 2TxyuwU8khstM1dbeKe4gmubAyUTSBIWdUYgqXBHx0IHw4PFBND6u9DK9S1WyuPMGkWKNNqN1pcn KSO2pHFCAVDQltwa8AG4jr44YxMfqLIoOysfrMsP1wva2sxIinKEqxHUAkjKZTobblMY2nmp2Vto 1zanSnc3rKB9WAMnqqSfiah2+jKMcjkvi5MyK5Klpaw63PLd6m1JLasf1HdFjAFeRqanrjORx7R6 qBfNLxq11YRS2tozPpiycI9QZGb0+W7BakBqE5aMUZby+ruQS7UtN0rTrKC+jvm/SJJdLgVdpyx/ kLbDtkYSlKVV6VOwatg/mK7EOpztbmChOmqpQvt9osTXf5ZKQGLeO/mjnzQj6tc6Te3lppCte2kQ ZnQoxSBq9OQJJC4mAyAGWx+9jdKk3l/RItKfUbi+eS4kPNdTJPLl2CoCfu65UM874QNu5JiBuhDq moa08OnX0jaVHMgaoQhp/panEEZbLFHH6h6v0MbtbqFxF5d1Iw6O7TPKD6umUaRUoPtcqkjxpjAH KPX815cl1po1jqlnPq+oag1xMYywlFUW2IFahQd+J6fqyE5ygeGI/aoHVDQ65qOp28WlvI0FnO5i GssjIZFUVCgVCgtSh+LJeHGPq69y3eyJ1CwsPLcttc6Y7m9akf6Oq0huQdiTSpBFa1ApgjkOUES5 d/ctU3psEfmW4nl1abj9X5qNKHKP0DUASFqgsfoyMj4Q9PXqoFodPMFzaCTS7S+9ezV1T9KNGxMK tUUFCQ3sfuyYxCXqI37ltW1HTbTRLKHUYNRYXhLMJT8f1otQ8eIbYe+9O+DHkOSXCRt9ykUi9A16 /wBQ1GaK8jW3s/RVZtIlQkXKSDdmL0pSm1BlkqxD072oK2/tZ9FsLy40FFvrCJiZrJ35TWTMK1bj u8X3Ed/HJjEMtSOyaYXNZRNAus+YZ5WmumDW2nqOMs8INDJ6jDjFF+yp4tUg0G1cyxARFBQEt1nV 31G4DLEttZxL6dpZxljHDGP2V5EmpNWY/tMScIZJafliq0n2r4YVdv1wJbArQYq2Si7VrhVuor+r CghWtLua0uYrmE8ZYWDKe23Y+xyMo8QosKZTHrEvma4i0x2Wyt3HKZFPJpWQ8uKkjbpXMM4fCHEN yxpP4TB5Lh+twtJdC+kMdnpIPFgRT1ZBIA5pxPGlO/tjiPHvLn3pGyf6L5sttcmg1C9VBAI5kurC P7IeZqcpNlaqxgCn3ZKeYRNUz4u9Kk808YUvpbqzlmGqxQmZKIWt1jd2PpAngpYKoPTbriMUfqr4 ItH6dpkXleO41XSIH1h7kVfiZDLUk7KIg/B6ts3E7fPCJ8R/GyU1mv08wW4sbO2HpgAzSybLDXpw A6nbbNdwHGbJ/a2k3soQvB5bvGivFM4nXlFfKPioNvT4kmnj1y0x8UWPkjkpXekXutB9TSP6kxQL HbtUmYAk8mPw8a1p0xjOOP0ndatqPWlltE0m204tcUZJLYmkcdDuS1DXxOM8JB4idkWgU05vL9xH d3sK3cJoBItf3DHfYNWvscsOTxRUdj96KpVuLK48xTLcwRiwt4i3p3pqZJewooK0XIxIxbHc9yKt St9etdKtpNLazYX0JCelGK/WGO3OtP2vpwTxGZ4r2+5F1sgJvK93YRx308SXsMbGSXTULBYwd6Ka nlTwplg1Al6Rse9HDStf3R8yt9T0+1rGhVm1KWqiLx4gbk/TlcIHDvI/BT6lKyubbyzLNb31sWuH DPFerVvXPUJvXjvtkpRObeJ27u5Rsoy+W9QvFm1QwC0iYrMdHDMfWC/EQzDiFLdvhw+PGPp5nvRw 9VW71u01myTRtNtOVxOKNE4McdsE35Egfs07f2ZSMMoS4pHYfanivYLIdPXy3qSXmpE3tu68F1P4 i0DUI4+n8WzdK1yyeQZo8MdvLvRVFSmtLnzPJLeWUB0+H02QXLkhroVFEKrQBdtz8WMSMIqXq/Qi rVbfXrCy046Ouksb3kIn04VPOo3kMnE16f5jE4JSPFxbd7ISFUpJpM+iC31HUbf67bpyLQIxZbSp BDUPLn75LxRkuMdj961SVecvNFpeXNudML+tbVK3yloz8QFVUdfpOW6XBKIPF8lLHtI1vVdJvRe2 M7Q3G4Y7MHB6h1aoYHwOZyhQ1TVNQ1O9e8vpmnuJPtO3gOgAGygdgNsDJCGlK9MCraU38eoxVbTC lsrt44VcajAq09fEYVcTvirQJG/j2wIVopJEdSjFZAQVZdiD2phYkM+vNZs7TzLb2mpMzy2NrFAt 67EhZHT1ZWK06s0pWtcxc+ImNRUhK5Im17ULp9HQ2kfBkuLmpVZzUEKVA2JO/wAuuVRIxAce7A7o hNV0LTdJm0+6sWjuEoslm/xNKT0f1KD76bdsZY5SkJA7LyUbG21mxW31OeKd7G3ZnhsklYSQoSCG NAOXy298nLLHeMT6u9IBek6gkej30a6Ihe5dT69oOUilV3DNQ8h1OYeMcY9fJyCK5LrK0sNZtpdS 1KT1JVBjda+mkIG9APp6nBKcsZ4YrQO6WxatPE31GG5ZdKMnppqDIS42qVVqgfhlxxgjiI9XcxB6 KmrWGk6PDDcWdzImoCpi35tNyNfjG23bamVY5ynsR6UkU3ZW6a9Mf0vIyzW5H+45axKBT7TA1Y1w z/d/T80c0uk1m70u6urXSj9Zsoq8zIjOsJruAwI2Hv8AjlkYCYBlsWNouby/ocdh+k7u6kEs1Jzq HKjc2FaIlKUJ6Clcq8WfFwgbdykBL7bUb3XJk0/VZTYQypyCxKY3nB6fE5Ip8hvlssUcfqj6mNku 1IxeXb9I9EYyXM397p1GlWlNjt8QP01xgDlHr5d6TtyX2ej6dq9q2q6heNcS8G9RlbglvtX4V/yO u+3tkJZTjPDEftRV7pfD5j1KZl071QdMkkFsNVaN1cqTTZieIanSoy06eIHF/FzpbJRmqaRpnlq1 jv8AT5GS/SiRRSNz+scyAQyinY/s0yiGSWU8Mvp+5SKUtMr5nuHTWZPT+rHbSYw8QJ/nk5EsflXD kAxfT81G/NA3Wsz6FcSaZpN0Lm1QVkaZTJ9WJPE/GtBQE+By3HiGQcU9j96Ca5JjLoGkW1mdZk1W U3RpKNSLAgtSnEIBuCf2euQGeRPAI7dyeFimtecdW1S0+qSMsUNf3npAr6nhyqT9wzMxaWEDak2k JHjmSmljHvilaR3xVb2OKrKUOBWjt1G+FLY69foxVrvTFVu1cVWkd8il23jhQvhf96legYE/LFU9 88hl81agW3Dsjg/5Lxqw/A4UELNG81X2mQNCirLCalUevwse4Ip92UZdPGe7BPtP0M65GNXv7xmu H/uRbkBYQpqF+INv/nv1zFyZfC9MRsjhQ9z5n1eS8Okx3NuCW9FtRUFaV79eIbr0+jJRwRrjo+5b ekadeJoEl3BqMZ+sOeUU6hi0wFdqmoGY0o+JRjyckGkLd6Tql1BNqfoCJWbk2njkvNBvyb4up+jJ xyxj6efmggndWl1aHU7GPTdOsFkdlpJEwKxwgGnUUP01yHhmJ4pFN3sENbW6+XtSQ6lGJ43UCK9F WCMB0APh0ycpeJH0saouuNPvNdvZNQs6WluilYrk8lkmIFOgPSu1cEZxxDhluVIJdaa5p1jpjWRt v9PjPpS2qrX1JOhYmnc4zwSlLi6IvZLLrQtQsore+vYhc2YYyyWALUhDb0pXt3yYzRl6Rse9iYon V5/8Q3MdnpcKyCIhn1BwyiP/AFTt/n2yGOPhC5n4Kd+SlpFzZ6DeXMOrIY7xuTrfUL+qvgOpH+dc ckTlFx5dyg1zS8aLq17Hd6kkIs7WX95+j1Lhp0Bqa0PcZYM0I1Hme/uYUUfeeYdJu9GTStOt/Uub kehFZBSqxMduTNt0O/68gMMhLiJ2SSKQVvpt3oWsWl7rMpurbh6aXdXZbdyDQU8Ow2xlIZIkQ2P3 pqubV6brzJqpm0SP6vHbq8cmqHkhclSOAoRsa08aYwrFH1730Ync7O0zV9H0PSLqyvbP0r+P4LiC nI3FagHka/D+AwzwyySBidvuZCgGE3F/czRtbhmSzMhkjtQxMasajYH2ObGMAPexQoFAfbJsgFp3 xZNYqsJp2piq00pihwFRirR67ipxKVp3I8fH3xVojx6YqtIGKuG43wJaIGKFoY1pTFLJ/MqfXtM0 3XV+Izxi0vKdFntlCr/wcfE/QckrHKnFiQr21/eW0cscErRJOvCUKaVFa5AwB5hiynTbrypH5fmS RKDb6xFIQ0zSUPEodvelKUzCywy8YpD1F9Ftb6y/SOoX7PMVDpcIwWOOm9FH9cxTlMTwxDkcKAsN Y1DUZRpj3UcUe4+tKrCSRV2+Gp2JyyeKMRxUgStvUYIvLbx3FhOfVegktpjy9QVPxbAUyGORy7SG yTtyVbSyHmOAXeoTngrFUs4DxVKbfFWpqeuCZ8I1FavmgJdaudIuH0m1eOa3hYLFNLU8Oe5DFSAa E+GWxwjIOI7FiTWyJu/LlpBbtqjXrpeges12zD0y532Xj9k9hlY1Mvpr0rwdUFYajqHmJzZzTpaQ 8f33o1Eko6EAtWmSnCOP1VZRzWahP/hWRUsZ1min3exmJZh/lKVpT6cMP3/1fNifSqQ+X112BdQ1 O7aZ5AfSityFiiBHQAgmo/zrlZzHEeGIXhvcpZF5s1SS6XSFmgdpHFuupjlWjfDyG9OXh2y/8rGu P7GPEiL3y7aaBA2q2d3Il1BV2NwwKzV3KEAKat0GVQzyyHgI2K8NbqOn3Nz5vEsV1Mtrp0JDPaQH 97Ieq8i1fhB9v65OcRg3G8kj1KOp6nc+UpFsLOaO8t5AXit5iTLCT/NwK/CcMMYz+o7FPJhmp6pe 6netdXkheRvhAGyqo6Ko7DNhjxiAoMUGQQNh1ybIBaTXpgTS006fqwqtO4p2wqtPvgKrKDArZHhk lW9TgVb/AJ/Riq+OOSR1RAXdyFVVFWJJoAB3riqxlpseuBKzvsfoxVo9aeGFCyu+BLIvLEs1/Fce WuSCPUf3lu0n7FzCpaOhrtzoY/8AZY2lIpopIZXilUpIhKyIwoQQaEEeIyTFb38aDAim1kowagND 0IqD8wcSintLWdxaCKe/tXTSJnJFqHf4K0oW35ZrOISsRPrbaTDWZrG+FrY6XbrPcqoME0Z4rCte 5X+OV4hKNmZ2SfJvTHitdQkTWyp1KIVhuZGqnp0oAtfh2Ne2OS5R9H0qPNBXEOpXk95f6MjQ2ZFJ HVuJmI+0VB/hlkOEACe5QfJFJqHl2DQArQg+rUSWYNZGkBp8R6/TlcoT49k2KSv0Z4XtBr0LtpT/ AO88XJisXL7IalDUDxyywb4PqY+9W1t7W9v4bbQ4PU1CLiBdRHgkaLtQ0+Ej55HECATM+nuRLya8 vzaTDPcS6gvo6zDyNxPcNUnxK8th9GRzxlQ4Pp8lFJNx1mWC7u9Gt5bXRWpzhD/FIoPxFKgkbfy/ LLriKEzc2G/Tkm2oXflmPy+lvZxrKs/+8dpFUy+udlY786hu5zHgMnHZPvSapLYLe+g1Wyj81K8s bBVsizgxJJUEc+NAT41/HL5EGJ8P4orfdS82a7p9pqizaRtqiEia9jI9OlOJTjurn3ptktNhkY+v 6e5MjvswudpJZmnlYvK5Jd2JJJPUk5niIGwQFJgSK1wsqWEGu30DAlYfHoe+KrWwq022KqZ6dMVc f14qtJ/txQ13xS1sMUMs/L7zDYaNrUM81pEzjmFunDNIvIUHFSwQHwPwnfdqYlITXzN5X0CTTLW/ 0qe2jt5PUVXj9UyS3Cmi28MUnxFBX+8ck+J6LjSSwPUNOu7C8ktLyJoLqFuMsTdQcCEMRX+OFWiO wwKuUvDIHRiskZBVhsQRuCMVZPGD5ttpeMIPmmL980oYILyFVoy8Nl9ZdiKfaFe+ELzYvIrKxR1K OpIZSKEEbEGuKFp/Dtil9aaj+Xfm2+ijtzdWUEDCl2VZ3LV/lDRbffmvhpOE3zPRuMSVLT/yu8wa ZdOtnPaSWEgBZJXkEnICn2liO2SyaeWQb1aiNKV5+UmvapM8upXdsnEFbWO3ZyFB7szRgnGGCUBU aQYWrW35d+c7bSltI59PNxHVY5ecqqFrseAhpXIS0dyteEpf/wAqd8zxyQ30V7ZvqYbnOZOQiJ/y QIdqDvTLfCkRw7cKOAonVvyx856lxtzd2FtZMALji0kjttvTlCAN+m+V49Jw79UmJK3R/wAp/M+l XM0UVzZTabISVDs6zA9uTLDv9+HLpjMb80CBS65/JHzRqkss+r6hZiUArbJbcwq16c2aIM1P8zk4 4ZQFRr4sfCJTRfy388LpPpCXTBqIHBZBJMIgvjxEP4UplJ0Nyu9mXAaSa1/IfzDp91aXtnfWctyj crpZy4jIP2vTCxNx26ZdLFOQINUxGIhGeZ/ym88aohtbO402KzNORleVpGP/ACIYLTtTfBp9KIGz zTLGSxd/+ccvOzf8f2m18fVn/wCqGZtsfBKmf+ccPPBH+92mf8jbj/qhgtl4Zab/AJxu88GlL7TB /wA9rj/qhja+GVp/5xr88H/j+0yvj6tx/wBUMbXwytP/ADjT55P/AB/6X/yNuP8Aqhja+GVp/wCc aPPXa/0v/kbcf9UMU+GVp/5xn8+E1+v6V/yNuP8AsnxtHhlr/oWbz7/y36X/AMjrj/snxtfDLv8A oWTz4Rvf6X/yNuP+yfDa+GVp/wCcY/PhH+9+lf8AI24/7J8Fr4Za/wChYvPv/LfpX/I65/7J8bXw y7/oWLz73v8ASv8Akdcf9k+Nr4ZbH/OMfn7/AJb9K/5HXP8A2T42vhlkWnfkl5+t9Pnt7p9Gvbr0 lisLuZ5ZDbqvRVSa1lj4ewUH3xtPAVDWP+cfPNt/Y+pLc6bc67cyepealNNcxhFACrFDHHF6fGg6 lfYDGwvAUmtv+cXvObToLrU9Nit6/vHieeRwPZWijB/4LG0eGU6H/OL1BxOpcj/vwScR/wAB6Df8 TxtPhpfqX/OLnmANy03V7SUHqtyJY6fSiy1+4YF8MoOL/nGb8w4JVlg1LTI5UIZJFnuVZSOhBFvh tHhlOm/5x8846sZm8xXemPdsv7rU7VphPyGwWWP0Yo5FPcn4/wDKxtPAUgb/AJxc8+hzw1HS2Spp yluAafIQHG18MvqDA2uxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2Ksc8 6aJrGojRb3Rxby6homojUIrW7le3hmBtp7R0aaOK4ZPguiwPptuAPfFXj2sfkB56bRINO0u50z6/ HbWlr+nZZmiuY4I9OgsLi0j/ANBuZlhLRSzIY7iMFpTzRu6ra/kP55S1iY2flx70fWnmRZbuG1Zb u4Mi2KxrbtKtpbKx9OP1PSb7Lwn7eKs21XQfOR8p6N5O0WwvbM6XFaQz6k2prBbTxW9twMX1+1ZN RFJOJ5rZpzK8Sqo1Qqmmg6TrflKfW6afea4Nd1RtQWe0ngdoAbK0gb1jqFxbt8UsMjIkfNVSg2FF xV5voP5GefNC1zStWE2nS2+myW088FszSXdbG3hjl+omaO2ijm1SSB2ui8ig86FmILlVOPzF/LLz f591ZdXtbaPRgkNvB6GqSQG5C2wvPVgUWy6hAYLz67H6nNm3hXlFIKUVY7d/848ef7i3gja/07/R 4Y4JI/WZzdW8VvZW0FncvPY3EbR2v1BpkcwsHkkYiOKpJVZNqf5Qea5rSSx46fqdrdarbapcTXN9 ewTxNBplvZs1sxhvArmZJmTnyEaceHFwrRqv/9k= xmp.did:EB6B3FE0E9BEE111B24EAA1EEECF6682 xmp.iid:EB6B3FE0E9BEE111B24EAA1EEECF6682 uuid:D9098E846DDDDF11A669AA5AACADF97C proof:pdf xmp.iid:EA6B3FE0E9BEE111B24EAA1EEECF6682 xmp.did:EA6B3FE0E9BEE111B24EAA1EEECF6682 uuid:D9098E846DDDDF11A669AA5AACADF97C proof:pdf saved xmp.iid:BA2AA763FA86E011A2FF8F158748F4E1 2011-05-25T13:28:36-07:00 Adobe Illustrator CS5 / saved xmp.iid:022C86193A37E111B322E735D87BBC1E 2012-01-04T17:19:17-08:00 Adobe Illustrator CS5 / converted from application/postscript to application/vnd.adobe.illustrator saved xmp.iid:65260F2DE63BE111B456AA8A1489FC3D 2012-01-10T22:24:14-08:00 Adobe Illustrator CS5 / converted from application/postscript to application/vnd.adobe.illustrator saved xmp.iid:CD256E1165BEE1118C47EEACA5DFFF40 2012-06-24T18:28:29-07:00 Adobe Illustrator CS5 / converted from application/postscript to application/vnd.adobe.illustrator saved xmp.iid:E96B3FE0E9BEE111B24EAA1EEECF6682 2012-06-25T12:50:50-07:00 Adobe Illustrator CS5 / converted from application/postscript to application/vnd.adobe.illustrator saved xmp.iid:EA6B3FE0E9BEE111B24EAA1EEECF6682 2012-06-25T13:09:01-07:00 Adobe Illustrator CS5 / saved xmp.iid:EB6B3FE0E9BEE111B24EAA1EEECF6682 2012-06-25T13:46:47-07:00 Adobe Illustrator CS5 / converted from application/postscript to application/vnd.adobe.illustrator EmbedByReference C:\A. Work\ug932_KC724_user_guide\draft\ug932_rf\New Images\20120713_J121_friction_lock_cropped.jpg Basic RGB 1 False False 6.833333 3.249993 Inches Helvetica Helvetica Medium Type 1 001.006 False HV_____.PFB; HV_____.PFM Cyan Magenta Yellow Black Default Swatch Group 0 K=100 GRAY PROCESS 255 K=90 GRAY PROCESS 229 K=80 GRAY PROCESS 203 K=70 GRAY PROCESS 178 K=60 GRAY PROCESS 152 K=50 GRAY PROCESS 127 K=40 GRAY PROCESS 101 K=30 GRAY PROCESS 76 K=20 GRAY PROCESS 50 K=10 GRAY PROCESS 25 K=5 GRAY PROCESS 12 White RGB PROCESS 255 255 255 Adobe PDF library 9.90 endstream endobj 291 0 obj << /Annots [292 0 R 293 0 R 294 0 R 295 0 R 296 0 R 297 0 R 302 0 R] /Contents [303 0 R 300 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 1417 0 R /Resources 298 0 R /Rotate 0 /Type /Page >> endobj 292 0 obj << /A << /S /URI /URI (http://www.xilinx.com) >> /Border [0 0 0] /Rect [275.58 26.4 336.42 37.56] /Subtype /Link /Type /Annot >> endobj 293 0 obj << /Border [0 0 0] /Dest (G3.463692) /Rect [359.04 709.86 407.88 721.86] /Subtype /Link /Type /Annot >> endobj 294 0 obj << /Border [0 0 0] /Dest (G3.473309) /Rect [363.9 187.44 373.86 199.44] /Subtype /Link /Type /Annot >> endobj 295 0 obj << /Border [0 0 0] /Dest (G3.473143) /Rect [378.9 187.44 423.3 199.44] /Subtype /Link /Type /Annot >> endobj 296 0 obj << /Border [0 0 0] /Dest (G3.473319) /Rect [297.48 129.96 307.5 141.96] /Subtype /Link /Type /Annot >> endobj 297 0 obj << /Border [0 0 0] /Dest (G3.473143) /Rect [312.48 129.96 356.88 141.96] /Subtype /Link /Type /Annot >> endobj 298 0 obj << /ColorSpace << /Cs8 1435 0 R >> /ExtGState << /GS1 1436 0 R /GS2 803 0 R >> /Font << /F1 1437 0 R /F10 804 0 R /F3 769 0 R /F4 772 0 R /F8 800 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC26 792 0 R /MC27 299 0 R >> /XObject << /Iabc1860 301 0 R >> >> endobj 299 0 obj << /Metadata 304 0 R >> endobj 300 0 obj << /Length 18 >> stream q /Iabc1860 Do Q endstream endobj 301 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 506 /Matrix [1.00464 0 0 1.00412 108 14] /Resources << /ExtGState << /Gabc1861 780 0 R /Gabc1862 782 0 R >> /Font << /Fabc1863 791 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM@ |;þR= P+!8t+A%O)i+*5;x~?va"6}{`B V&́i0O]vYzr"TYUCQ?WG?{`'/cXLFV#Pl!2Rh, ?$WJ2'ī+OSr&A!K2?:Y#rU (I(~R1fubG /J٧j {Vqi*J9Lڴ[쥭}-UaoKey͞\w>?lIHBJ.\[-:ufg[XLwۏ}ÐL$3j4>S~Y2c e / §9 ?ɒ F|QH:I*d~y)ϟrݝCr<?e P_|۾{w endstream endobj 302 0 obj << /A << /S /URI /Type /Action /URI (http://www.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG932&Title=Kintex-7%20FPGA%20KC7\ 24%20GTX%20Transceiver%20Characterization%20Board&releaseVersion=2.2&docPage=18) >> /Border [0 0 0] /Rect [108 14 194 36] /Subtype /Link /Type /Annot >> endobj 303 0 obj << /Filter /FlateDecode /Length 5716 >> stream x[[s~gU<207+V䘴*9bV+%1s6>Tmƺsu>zwdc?&SUTݜ\Sۮ2l,ni>9=♩Lu(V[ ,?aa_%@/N14fqDLەZT/c䝛aEluUfi:{+]6=4+Byvi/؟իZ0L?TLSo[ \Γ2MQ0ADL̩CBi6`IQ"LAnqA 07&%=}dFnɵ$4@ ճ<:Ï]hvY-^}pXvM^`}?!Ցc|j6dm X-#jMY6|$]_<^_/^P=yz|Ɇ0t2`ϣ/[o Z_oo..w7}q|-Q^nWX!-/ꗣV2pydre܀s{w84+}nsZ0At01>&a0Bd}͍t{FXO@[k,άm< Z&@ߴAAC^vtTou1ƾ MTSqvR3N6X<0uiC"%U{vs5& bpp79š5h `QA21is$ M=xš39Xλ&?W 1039{Ǧ5OL7aA~AiтmO0< 9Nje 㮓x%lH6t"4PN#By4,!`G;bguVVǦ`É` aIGJ@X""Vz"y׷'l'-ktm]OHg֍Ac6n{j@ÌDLvO' @L)R>UGPv#P1< =N% "DzV⧾zɌ/|u/# אW3;%_:}^ EdyqzvqU]&-Z.*T]VYFѫJ!7̐׋gAhl^ yB;?Bt} Refg7w'EjuTjPԋO+Bg>Wr{/j%%Npuw7ME !ÙloI !o@Yפo^#a+yt++z}rv9#GEiY 0ǽԧ1n ^~¯N%ꖛ`F'l.'kYߔ]H8]~`i\޾>{Iqq\e7<,o[RziEd2Ae\` A~xK|ҐyR}{zrww}U08#ƞ߂顱@½4guV@X:fsOY"d]l2}P:ܨ79/PH -LDj T8'B Uި~jliˤΥӒ'?!7{) Vbo.)PłW>NH7S!!;CoW櫧Z<,Zhv K+UD@'pR6l_mQn%IC9]ΙV_\۾:Q΋[9#ys{g`Mpibw BE3&%9zILa`hDv2^ /aG=,caNpŲ }Uu! $s>=?pvtr.Ţ ٸQJؐm| ( :KnSF?Jߏj[f2,% fo"F``D9NuX鸸9/ck`bz&Y1e}Ys Ң^R}kk ("-8qݞ=J-@ci0as  jُr"sA7yϔ(oqkB"%x,:,xR,ZQ/`l[\i$HؤΉ [`,sT>N =pdF4{QH1:I*ܘiu,S.@"55(Y9GRy-T \1<ͷmmOokM떮] S@dGYfx`.VccqQ6Pd!c=D'T.{H@ߛ\<<-R>SfvSI0Y5G!騷EV+zVTCۉn-^_l`.ѵ#y_/?yRà Åϕ ѧ /o``mil裝2aFVzOnMoD:CiC\QmӎVەQհAq-rlam~pmlؠ 4)E KSX0mz)#[@?V]z7WH&8BSP`V Tz%s2LXvH ̢Zk:Fpǀ;)&g BmJM-y@Rn,1"bR%J/(ZNF [e;" ίu[dѱZ=VJ}]'ӄ зWyb࢔\u3~wsj~%t-Ġ 13*0Escrat13wZ=Gg)Gyceqy9# 2XaЍX)#f*۶bdz5"%^Z\^AQEs8֧MVLx]DsE;Js[XEm:ZAOIATSw۲S_\ba'*jl/#hiEgb4^u*`+kwp7*ʭtHx_!OPQnp}guDkbYVxd2l1;dKչ=BZ ؖ0F$c?6gMӖB'8l$t3 {#ϝ})qs6VO7d2'Ȏ-yaGtы; uO'P$8 .ػa`srG Z!^&aSRpmۧ;l2|QfsldIoo|6sIfFf͌-N웛6W,[|زvmncԦѦM3Ŧ4 MFMmhӄmZ4;ivnĦMLESfg~E -_xw Zi3m{f?alllgǙlf''e/~>+qe3!>D½IBu /1R( U+]7 w`M%3uoj1Xm$rXyV2G-sZtX)s.bm8~ BV쐕ZHRA}+] CUD:u')ҕ=0 4Lz:7vz8V, j2`]pZd4VTxsdBWCK cҜ1IdL2&㷅M;υ;h˘wCӵDX/_nB1̱,^6+=VFoBĶ| FK+ޮq?}&y4 ?qڱ>JҝȁeWc7S0!Ju=o%ѣwMU\ૂ;8=׎q ^X#*vv7̡bҸ{J'rQ^P8umSl|xO!l`3`AsU1ŪY&Rm|FoK؅a/pDNfLFXp4]'k.IJ֮bGVcU(N^'徭˜+w{"&^#GlT:1!~Di?@9fwŅ__VW"_.iiwO;A%IuER›9kxѿU>m endstream endobj 304 0 obj << /Length 29789 /Subtype /XML /Type /Metadata >> stream application/postscript For use with FigureWide tables used in Xilinx FrameMaker document templates. Graphic_Template_FigureWide_011110 Adobe Illustrator CS5 2011-02-22T16:34:15-08:00 2011-02-22T16:34:15-08:00 2011-02-22T16:34:15-08:00 212 256 JPEG /9j/4AAQSkZJRgABAgEASABIAAD/7QAsUGhvdG9zaG9wIDMuMAA4QklNA+0AAAAAABAASAAAAAEA AQBIAAAAAQAB/+4ADkFkb2JlAGTAAAAAAf/bAIQABgQEBAUEBgUFBgkGBQYJCwgGBggLDAoKCwoK DBAMDAwMDAwQDA4PEA8ODBMTFBQTExwbGxscHx8fHx8fHx8fHwEHBwcNDA0YEBAYGhURFRofHx8f Hx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8f/8AAEQgBAADUAwER AAIRAQMRAf/EAaIAAAAHAQEBAQEAAAAAAAAAAAQFAwIGAQAHCAkKCwEAAgIDAQEBAQEAAAAAAAAA AQACAwQFBgcICQoLEAACAQMDAgQCBgcDBAIGAnMBAgMRBAAFIRIxQVEGE2EicYEUMpGhBxWxQiPB UtHhMxZi8CRygvElQzRTkqKyY3PCNUQnk6OzNhdUZHTD0uIIJoMJChgZhJRFRqS0VtNVKBry4/PE 1OT0ZXWFlaW1xdXl9WZ2hpamtsbW5vY3R1dnd4eXp7fH1+f3OEhYaHiImKi4yNjo+Ck5SVlpeYmZ qbnJ2en5KjpKWmp6ipqqusra6voRAAICAQIDBQUEBQYECAMDbQEAAhEDBCESMUEFURNhIgZxgZEy obHwFMHR4SNCFVJicvEzJDRDghaSUyWiY7LCB3PSNeJEgxdUkwgJChgZJjZFGidkdFU38qOzwygp 0+PzhJSktMTU5PRldYWVpbXF1eX1RlZmdoaWprbG1ub2R1dnd4eXp7fH1+f3OEhYaHiImKi4yNjo +DlJWWl5iZmpucnZ6fkqOkpaanqKmqq6ytrq+v/aAAwDAQACEQMRAD8A9R308UFlcTzSejDFE7yT bngqqSW232G+KvF/Jl154tPNOnapreqX91okVj6dxZw2ev3Be49GOEOBJZRRSJJ6QmLMOSsxC1BZ mVenf440X/lm1X/uD6r/ANk2Ku/xxov/ACzar/3B9V/7JsVTPSNYsNXtPrdiztCHeJhLFLBIrxsV dWjmWORSCO64qjcVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirwnzR5O1nzTeX95BqO p8o9Uv3gkttNga0Z1RNKaKaKS7Vp0S2gnhNCnMycqUHFlWf+UL268veWdN0Q6JqVw1hCsLTxW0EC ORuWWL6zJ6YJ/ZDUHQUG2Kpv/iy5/wCpe1X/AJFQf9VsVQf/ACsSz/TH6F/RGp/pb6v9c+pejFz+ r8/T9Wvq8ac/h619sVTfzZ/yius/8wNz/wAmWxVhHnmc6l5c0S10jzFZabdJKsMhk1aXTSZJbae2 hQNbcnldLleQhbZzGVPTFWC6Zf8A5t6vDqV5p3nfTRY+Wnaw/StzOBYPevbBN3jhVZVR5Yz+9aT4 zXrWJVWUeQPMGuW14mtebfzF0PWNIXThDdC1vLNbaK/mnpHIhjht6o6W0gUyOTyDhRStFWfeSyGt dUZTUHVb4gjoR67YqyDFXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq+dr38vvLV9c66 8vmiTQNX1LW9T1K5vlsEMdtZrJf6fJHJfBIxH60Cz8TPPRf2EBqWVZB5U8ueQdH06K0PnfRbgRX1 ldwXNs0FtLK+nyPeMl063cn1ljFNQctkQKeJ4g4qleoeSvyvn8xpf6v+Y9q4LS3tlCJdPiNu0t0b 6AxXB5GMKblWQ/3jg7P6ZKYqlf8AhHy//jT6r+m/L/6G/wAP+p9e+owfobl+kPqXD0PrPD1PW+Ll 69fX/wCBxV755s/5RXWf+YG5/wCTLYq8o86+Xfy/8rWOn+YfMGparb217Ok0a2xtTHDLBBdagyKX i9VfXkeVQVcyc3CKyoSMVSzy3P8Ak9Y2Om2tn5j8wyC7vm1aSG6MxpFYQR3DRXCGFYGtoRYIiPGC 9VKRyceQxVd5f8n/AJOebPMccXl7zPrNp5jgsnIFtOIpWsLeMaWj/FC8cYCl2Tjwl/esx6rxVeqf l1Ypp+i3VhHJJLHaX93Aksx5SMI5SgZ2AFWNNzTFWU4q7FXYq7FXYq7FXYqg9b1CTTdGv9Rit2u5 LO2luEtUJDStEhcRqQG3alOhxV5Jr3/OSemWF9exafY2eo2ltbfWoLsaj6azRjipdQtvKCnqsYFM bOxl24heTIq9nBqAaUrvQ9cVdirsVdirsVdirsVdirsVef6J5D8r67aXF9qdtLLcjUdWhqlzcwrS PVr0xsY4ZI09SMzOY5Kc0J+EjFUXL+TH5aS6e+nyaMHtJLY2Tobi6qYGl9cqX9XnX1Pi5V5e+KrP +VJ/lr9VhtV0uWO3tk9K2jjvb5BFGV4MkfGccFcE8wuzEktUk4qk3+HdG/5W9/h/6v8A7h/8GfU/ qvJ6eh9f4cOfLn9navKuKs882f8AKK6z/wAwNz/yZbFVq6Lo+raJp8Oq2FvfwxRwzRR3USTKsiKC rqJAwDL2OKqC+QPIaii+W9LArKaCyt+txH6Ux+x/u2P4H/mXY7YqwW38+flx5a8zalZ2/k2TR73R 45LGbUbe002JTCkMt6kMTQT+sY5Y7ZpEXgACPiCnFWY+Ur6GKLVUZZSRqt9ukMrjec/tKpGKp7+k 7b+Sf/pHn/5oxV36Ttv5J/8ApHn/AOaMVd+k7b+Sf/pHn/5oxV36Ttv5J/8ApHn/AOaMVd+k7b+S f/pHn/5oxV36Ttv5J/8ApHn/AOaMVS7RPOWia1Bczaf9ZeO1uZrKYtaXKUmt24SKOUYrQ/061xVM f0nbfyT/APSPP/zRirHdN1zzDb6QdXvjDqGmt6krkBbO4gjV2HxesywyBR3LRkAftHFU507zHpeo 2MN9Z+vJa3Ch4ZPq1wvJT0NGjBocVRP6Ttv5J/8ApHn/AOaMVd+k7b+Sf/pHn/5oxV36Ttv5J/8A pHn/AOaMVd+k7b+Sf/pHn/5oxVLtf85aJoOmtqOpfWY7VZIoiyWlzIeU0ixJ8Kxk7s4H9uKpj+k7 b+Sf/pHn/wCaMVd+k7b+Sf8A6R5/+aMVd+k7b+Sf/pHn/wCaMVeXX35kX/lDRYpYNNtLqyudR1qW e6vr59PEIGum2HIfVrgfavFJ5FaAHFXoPlDzJ/iPRV1T6o9lymnh+rSmsi+hM0XxbLQnhUjt0xVO sVef/wDlff8AwVP+7jirK/Nn/KK6z/zA3P8AyZbFUXpP/HKsv+MEX/EBiqKxVKrnyn5Vub9tRudG sZ9QdXje8ktoXmKSLwdTIyliGQcSK7jbFUJ5N/3m1X/tq3//ACfbFWQYq7FXYq7FXYq7FULpn+8z /wDGe4/5PvirE7386fy0sbqW0vtYNrcRPLGIp7W7jMhgkaGQwcoR6yrJGylo+S1B3xVS0z/A0elX Wt3moTanBoyvdzQ30cnqWCgtKK6aI0kikWjcS8PrHxOKqifnR+XDXMdr+kpluZbhLOOF7C/RjcSL z9KjQD4kH94P2Ds/E4qj9A/M3yV5gvI7TRr6S8kltvriSJa3QhMISORj67RCEMqzx8kLchyAIriq hbfm3+XV1ZtdWutRzgCBktoo5nupPrMcEsXo2qobiUlbyGojQ8S3E0aoCqO1m+k1jT5LHQLwR6uI rPU4FkM1urQNceoiySBGZFnFu8bfCSoJqp6FVjnl/R/zY0eazl1fXLW+0iysJvr1u376eW5+rw+n SUwQOyxzLN8TNyZSvIFtwqn8w80z6Xa3D39iDM9q5UWU2xeWM97vxOKphpl1qv6VvLC/lgn9CC3n jkgheH++eZCrB5Zq09EUNR1xVNcVdirH/I//ABxbn/tq6x/3VbnFWQYq7FXn/wD5X3/wVP8Au44q yvzZ/wAorrP/ADA3P/JlsVRek/8AHKsv+MEX/EBiqKxV2Ksf8m/7zar/ANtW/wD+T7YqyDFXYq7F XYq7FXYqhdM/3mf/AIz3H/J98VYNqn5J/l9qd6b288rWMl0XmkaZbq5iZnuXaSZ39NV5M7SGpapp QdAAFUym8gWjwS2qQenY3kDWmq2hu3nF7AzSPwuJbiCac/FcSkskisxY8idqKoK7/J7yNcz+u3li ygkLpI31W6uLVW9NEjRXS3WJWjRY1CxsOI323NVURpn5b6XosUcfl6yTRODSl2tLkvzS49P142Fz BcLxlMEZYqA1V2YVaqqA078kvIumxiLTvL8dnGrtKiwanqEYWVpI5TInEji4e3jKsN14gAgYqnWl +Tjp2rJqiepLcQwraWkbXskcMVonL07f0YIYo5lj5ng06u4/mxVU81zedxZgaTa6abYpN+kjdXE4 cRemaej6cJHOv822KoTXhos/lSzh80hYdE4WzTuskvxP8AiQGJUf7e7EdAN9iaKp7bf8pVqP/MDY /wDJ67xVNcVdirH/ACP/AMcW5/7ausf91W5xVkGKuxV5/wD+V9/8FT/u44qyvzZ/yius/wDMDc/8 mWxVF6T/AMcqy/4wRf8AEBiqKxV2Ksf8m/7zar/21b//AJPtirIMVdirsVdirsVdiqF0z/eZ/wDj Pcf8n3xVFYq7FXYq7FXYq7FVC/heexuIEpzlidFr0qykCuKpBNca7DpVrbyaSCYXtFJ+sRkFkljp TbxGKphpkOovq15f3duLVZre2gjj9QSMTC87sx4igH74UxVNsVdirz7TvPvlnyxpnoazLcxSXeqa y0At7K8uwQusTRGrWsMwX45kX4iN2HjirKdA83+XvMEt1FpF0blrPj6rGKaNCGZ0DxPIiJKnOF15 xllqpFajFU4xV5//AOV9/wDBU/7uOKsr82f8orrP/MDc/wDJlsVRek/8cqy/4wRf8QGKorFXYqx/ yb/vNqv/AG1b/wD5PtirIMVdirsVdirsVdiqF0z/AHmf/jPcf8n3xVFYq7FXYq7FXYq7FXYqknnL QrPXNE/R15JPHA9zaOXtppLeSqXMbCkkZVh0/iN6HFUx/Rlt/PP/ANJE/wDzXirv0Zbfzz/9JE// ADXirv0Zbfzz/wDSRP8A814qw/RvJ3l/X/L0kOqwSTLDqWsxxOlxcQSqv6akn2lhkjkr6ltG1eVd vc1VZB5e8m+XPLsl1Jo1obU3hBmT1ZZEADvJxiSR3SJPUmd+MYVeTE0qcVTrFXn/AP5X3/wVP+7j irK/Nn/KK6z/AMwNz/yZbFUXpP8AxyrL/jBF/wAQGKqWv6xFouiX+rzQS3MOnwSXMsEHD1WSJS7c PUeNK8QerDFWF2/52aDJcPbT6RqdrcxEGaKUWbFIVlkgmnJiuZBwhmgdGAJcndFZd8VTzylfQxRa qjLKSNVvt0hlcbzn9pVIxVPf0nbfyT/9I8//ADRirv0nbfyT/wDSPP8A80Yq0dUtAVDCZebKgLQT KOTsFWpKUG574qi8VdirsVQumf7zP/xnuP8Ak++KorFXYq7FXYq7FXYq7FULqf8AvMn/ABnt/wDk +mKorFXYq7FWP+R/+OLc/wDbV1j/ALqtzirIMVdirz//AMr7/wCCp/3ccVZlr9nNe6FqNnAAZ7m1 mhiBNBzkjZVqfmcVSey1fzRb2cEDeWpi0UaIxF1aUJVQNv3mKr59W1+4gkt7jyrLNBMrRyxSXNmy OjCjKylyCCDQg4qlX6KtvrD3P/Kvbb6xJcJeyTf7jebXMVfTnZq1MqcjxfqK4qnnlGz1G2sLpr+3 +qz3V7dXQt+ayFUmlLKCyErWnhiqeYq7FULqf+8yf8Z7f/k+mKorFXYq7FULpn+8z/8AGe4/5Pvi qKxV2KuxV2KuxV2KuxVC6n/vMn/Ge3/5PpiqKxV2KuxVgWgectM0a2vdPv7HWVuYtU1Rz6OiavcR lJtRuJY3SWC1kidWR1YFWI3xVM/+Vl+Xf+WPXP8Awn9c/wCyLFXf8rL8u/8ALHrn/hP65/2RYqxH /FFr/wArZ/xL+jdb/Q36B/Rv1j9B6vy+tfXPW4ej9V9Wnp78+HHtWuKvWMVdirsVdirsVdirsVQu p/7zJ/xnt/8Ak+mKorFXYq7FULpn+8z/APGe4/5PviqKxV2KuxV2KuxV2KuxVC6n/vMn/Ge3/wCT 6YqisVdirsVeN+b/ADt+ZqaldJoj2Vra21/cxyW017pYumtUt4rWP0BJIU5/WJZboCYoQI+DdeDK s38necLd/Kukt5k1Sxh1/wCqxDVE+t2rf6QEAkasLel8TCvwfCO2Kpx/izyr/wBXmx/6SYf+asVb /wAVeWOHP9MWXCtOX1mKletK8sVTTFXYq7FXYq7FXYq7FULqf+8yf8Z7f/k+mKorFXYq7FULpn+8 z/8AGe4/5PviqKxV2KuxV2KuxV2KuxVC6n/vMn/Ge3/5PpiqKxV2KuxV8/SeWvzSca/qXl/S7DUU m8xanHp1vdLpxH1Z5LuKSaT1bZJh6N66y/FcOzhOIVV+2qj9J8s/mUH0pNR8vcpGv7YatKIPLv1d bYSuZzGqj1miMPBWFRLzJZDReLqqlz5L/Nu617jbaZo2n6XDLLJFI8VhIk0SXcjRJMgtHlBktuKc UZabP6vLkhVY9/h/zR/ysD6n+irn6/8AoD1fQ9LQfrlPrVPU9Ph+jf7/AOH+f0u/PbFX0firsVdi rsVdirsVdiqF1P8A3mT/AIz2/wDyfTFUVirsVdiqF0z/AHmf/jPcf8n3xVFYq7FXYq7FXYq7FXYq hdT/AN5k/wCM9v8A8n0xVFYq7FXYq8ntvy5v9dmuNZstWj02cXWrWqTfVWku4imqaiP3F0k8EkMc guaSqlHbiOLod8VVG/J3zh+jmgj/ADD1WO8+rsiXfO6cLcs1PX9N7tqgQ/AIyaV+P7e+Koaf8k/O UsVqT+YOoG8tYRALxvrweQGNEdnEd/GAxaNWHDiDT4w55Myqj/hG6/5WP/hz9MXn13/B/H9N+vcf WvrH6U9X1ufrerT1P91+px4/B9j4cVex4q7FXYq7FXYq7FXYqhdT/wB5k/4z2/8AyfTFUVirsVdi qF0z/eZ/+M9x/wAn3xVFYq7FXYq7FXYq7FXYqhNUIFslTT9/bj750xVF4q7FXYq8+07z75Z8saZ6 Gsy3MUl3qmstALeyvLsELrE0Rq1rDMF+OZF+Ijdh44qzTR9a0vWbIX2mXC3VozyRrMgIUtE5jelQ KgMp36HtiqNxV5//AOV9/wDBU/7uOKvQMVdirsVdirsVdirsVQup/wC8yf8AGe3/AOT6YqisVdir sVQumf7zP/xnuP8Ak++KorFXYq7FXYq7FUs8y6jqGnaPLe2FubqaGSAyQpHJM5gMyC4ZI4v3jskJ dlVQSSNgemKsC8m/mv5x1aazg1ryXe6XG7zJeX7x3kMarDbev6qx3FrHxUt+7IklX4j8DS/FxVT3 zUtt5m8vJY6l5dv7jTrma0m4etaxk8biORPijulcbgd8VTnT3t7u5ntZba+s7i3SOVo57kklJi6q wMM8q9Ym6nFUw/Rlt/PP/wBJE/8AzXirv0Zbfzz/APSRP/zXirD9G8neX9f8vSQ6rBJMsOpazHE6 XFxBKq/pqSfaWGSOSvqW0bV5V29zVVluj6LpejWQsdMt1tbRXkkWFCSoaVzI9Kk0BZjt0HbFUbir z/8A8r7/AOCp/wB3HFXoGKuxV2KuxVg/nrzT520rUfqWgaV9cE1o81rcG0ubmP1obe7lkSRoGREq 8VuqKzKW5kLyPRVA6V+ZGt2Wl66fNtolrqumyTrpf+i3lja6gIkPorBJILoNLO6NxijaSSm4Vu6q F8q/mT+Yuo31kuq+TZ7OzvriC2ZjHcwvbCaKW6eV+cbh44YmggZm9Oswk9kVV6Nqf+8yf8Z7f/k+ mKorFXYq7FULpn+8z/8AGe4/5Pvirw/zB/zjvaalq8t/a+Zb2wFzLdz3lvFpZCSvdXEk49T0hD6n p+oArS822+1xoqqshg8ga1bafr+iDUVuNC8xWn1MWsdleWkGlLwkVzZWR+twOshm/u6xABVqXJLY qkdx/wA49aOmox3em6zPAqXcN2I7jSBLxNvEsUfD0VtUqtH4hkaNOVEjUDdVN/J35VReTZ7e9spf 05qUcMtg13fWk9tMlnKlukapMkNy7C3W14xxmgIc/EN+SqUaL+Rd9punnT5PNdxqNkWiaWzvNKun tp1tvqkdtFc2yzpDNHHb6ekVGXkQzfEOmKs98v6ZrdhryXs8scenwWEGlm3S0u5ZZ47QymG4D8Le O2ZjN8cXpyjsHxVMfNfnHTNMtFtp7a/lfUEmiiNvYXc4QiMnlJ6cbFE/yqUHfFXXg1PUfLthY6Nd Cx1B0tpVuZoGdUjhMcjHg/ANXZad/bcqqmVt/wApVqP/ADA2P/J67xVNcVdirH/I/wDxxbn/ALau sf8AdVucVZBirsVef/8Alff/AAVP+7jir0DFXYq7FXYq7FUrl0vTP0pAPqkNDDMSPTTch4t+nviq ZRxxxoI41CIuyqoAAHsBiqH1P/eZP+M9v/yfTFUVirsVdiqF0z/eZ/8AjPcf8n3xVFYq7FXYq7FX Yq7FULqoLaXeACpMEgAHUngcVYpqWpeTtR8t2lndavapU2QlEV2kUnwSJUFlcMKb/wCqdxRgCFU5 0m+sr7zFqNzZXEd1b/U7OP1oXWROay3TMvJSRyAcEj3GKp5irsVY/wCR/wDji3P/AG1dY/7qtzir IMVdirz/AP8AK+/+Cp/3ccVegYq7FXYqkOs+evKmjX4sNRvxDefuOUKxyylRc+r6TP6aPwQi2lJZ qBQpLEDFUNdfmZ5ItIbqa51IQx2d21hMXhnBNyok/dxr6dZSxgdEMfIO44LVtsVTG51GIapaekjS SmMq0TAxMI52T4x6oRW48PiWvL2rtiqbYqhdT/3mT/jPb/8AJ9MVRWKuxVAWr6pcW0VwJoEEyLIE MLsQGFaV9UVpXwxVR02PVPq70uIP7+4/3Q/+/wB/+LcVRfp6r/y0Qf8AIh/+q2Ku9PVf+WiD/kQ/ /VbFXenqv/LRB/yIf/qtirvT1X/log/5EP8A9VsVd6eq/wDLRB/yIf8A6rYq709V/wCWiD/kQ/8A 1WxV3p6r/wAtEH/Ih/8AqtiqVeZbHzhc6WY9EvLCLUBNBIjXdvKYuEcyO9eEvKvFTT7qjqFU19PV f+WiD/kQ/wD1WxV3p6r/AMtEH/Ih/wDqtirvT1X/AJaIP+RD/wDVbFXl195M80a/osU+i6lLa3Fp qOtLLDHqWoaXDM510v8AF9TMm3oRzrVlYgsPmFWU/l/5a846Ndao/mLUxqIuWX6vILm4n5ESzuZf RmUJa/upIo/ThJU8OXVqYqzLFXn/AP5X3/wVP+7jir0DFXYq7FUk1vyV5Z1u7S71Oz9e4j9Li4lm jqIPV4KwjdAy/wCkyBlOzBqMCMVQMn5YeRpbS5tJ9N+sQXdw13Os89xKTM6SIXDSSMy/38jAKQAz Fx8W+Koy60+WTVorcD9wI0lja4Y3Ubeg6A1ikIKSfFtIGPid8VT3FULqf+8yf8Z7f/k+mKsc86eZ fM2kahYW+kaY19Ddxu0kot7mdY3ing5B3gBEf+jvMy8vtMoC1PwlVI/yo86/mF5i1DUk80aV+j7G JFfTXOmX2mykE0InF5JInM/yQlwtDV915Ks/0n/jlWX/ABgi/wCIDFXaZ/vM/wDxnuP+T74qisVd irsVdirsVdirsVdirsVdirsVY/5H/wCOLc/9tXWP+6rc4qyDFXYq8/8A/K+/+Cp/3ccVS/8AM787 X8iatJbS6DLqVhBDbSS3MEkok53bzKqKv1doPh+rknlOHNfhQgEhVMfJf5t2Gv8Al7Udd1CK20my 09VeX/SzMYlaSSKl0WhhWBuUXKlW+BlckA4qxF/+ckprSyin1Xy9FpzzW81yHlvpfq0XpzNHFDPN 9TBSaZbW7dI1RmPpAKGLHgqnvnH874fL+p6XYW2lpqM2rafBqNlbLcSJc3H1iQxiK2VLeaF2XZj6 k0ex+GuKoo/nDEb3yHbx6aCPPFubmLlO4kgXjE1FUQMslFm5NzaPZTSp2xVnkn/HVt/+ME//ABOH FUViqF1P/eZP+M9v/wAn0xVFYqgL2G3l1C3W4iWaNYJ34MvMVDRbhaHffFUu8qDQNV8vWN7bWcRi eMJV4VVuURMb7EfzId++KobRbrRruyuZdPtvRlsdVe0lcwGFg4ulLBSVUleElCR7qdwRirKcVdir sVdirsVdirsVdirsVdirsVY/5H/44tz/ANtXWP8Auq3OKsgxV2KvP/8Ayvv/AIKn/dxxVm36Mtv5 5/8ApIn/AOa8VQtz5Y0S6uba6urcz3VmWaznlkkeSEvTkY2ZiULcRXjiqK/Rlt/PP/0kT/8ANeKo Wz8saJYvcSWVubWS7kM108MkkZllbcySFWHJj4nfFXWfljRLF7iSytzayXchmunhkkjMsrbmSQqw 5MfE74qgL/yvbXPmnSb831/F9Rgu/wDRYrudYJvVMK/vl5Hlw6ruN8VTn9GW388//SRP/wA14qhN S023Funxz/39v/x8T/7/AE/y8VRf6Mtv55/+kif/AJrxVJNJ8rR6XrMrnVNSv1vfrdwI726eVYPU ljb0oKcSsacuKqSdgMVRd3cXem6zYQWtlLdWuqSOl5ceqKW7RRckchyWYuq0oNqL1rQMqmGp/wC8 yf8AGe3/AOT6YqisVdirsVdirsVdirsVdirsVdirsVYzc/ll+X91dT3Vx5fspbi5keaeVolLPJKx d3Y9yzMScVU/+VVflx/1Llj/AMiVxV3/ACqr8uP+pcsf+RK4q7/lVf5cUp/hywp1/uVr9+KsqxV2 KuxV2KpV5i81eX/LdrHd63epY28rmOORwxBcI0nH4Q25VDQdzsNyMVSrWNZurbzDHEqXbD0bsRyw xI9tGYoYJwLhyCy+oagU/DFWVYqhdT/3mT/jPb/8n0xVFYqh7mzM08cyzyQPGroPT4EEOVJrzV/5 B0xVBXlncfWLH/Tp/wC/b9mD/fEv/FWKoltPkfiJbyaRFdH4EQgEowcV4xg9R44qjMVeWa/+YX5k WWrS2tloLyQW99Fbu402/uFkhlu515pLEwj4rZpHK0i8wrHjxqeKqsy8ga1rWt+VLLU9atXs9RnM 3q28lrNYSKqzOkfO2uGlkiYxqpYc2FfssVocVZDirsVdirsVdirsVdirBPLPm3z3qV5YJqGiC0tZ Lt7TUpHguYXjMenLOzxrIOLRfW+cQl5cDQBeVeQVZ3irsVdirsVdirsVdirsVSbWvJ/l7W7lbnVL VriRYJbYAzTInpzKyP8Au0dULcHZQ9OQDEAipxVLtYstal1wrbSTpayR3Lvbx+j6U/KCGFOZdwy8 HJPTtt3qqyrFULqf+8yf8Z7f/k+mKorFXYqhb3/emw/4zt/yYlxVFYq7FXmfkL8uvy+1Ty8b/U/L Gk319Pf6mZ7u5sbaaVyNRuFBeR0Zm2AG5xV5jdaxa6RcXFpffkjpur3clzOmnRWWjC05W9uF9RuM tvcyTBDLGPUVFVqmgopOKpjZTxT3k9gfyD04TwXSWwu5LJILN1kaQeosjae7skYVOThKGpO2wKqY Xtro9vrMiR/ktYS6Q1layW050mIML2REmuYpDDbXEnFEuY40Igp6iyAsArGNVLdVNtL5V0nVtK/J jTrDUry5niutJvdAa8lSGIqsb/uIIPS5mQGsvGgDUDUxV6B5F/L7yPq2h/WtZ8j6HFciaSOOT9BR 6f60SkcZPqd2sk8PdaO29OQ2IxVOfyx07T9OstesdPtorOyt9bvUgtbdFiijX4PhREAVR8hirMsV dirznyL+V/5aXPkjy9c3PlLRZ7ifTLOSaaTT7V3d3t0ZmZmjJLEmpJxVg9zp8Vn5mvbF/wAmtIvN Mje6+pzw6UiepBbTOFmMwgnQPJGlI4Sg5NRg/F/gVQnl2+02+1tNJ1D8gYbdVFLjUE0yBImcRk/u vrFpBDRpAEHOcDepPEEhV6H5S/LPydd2t/Lrnkvy8jJf3UFgseiQWjG1gkMKPJHKbipdkZldWCuh VgBXFUl/5V75B/5XT+iP8NaV+if8N/W/0f8AUbb6v9Y+ven63pcOHqcPh50rTbFXsGKuxV2KuxV2 KoWT/jq2/wDxgn/4nDiqKxVC6n/vMn/Ge3/5PpiqKxV2KoW9/wB6bD/jO3/JiXFUVirsVYr+WH/K IR/8x2qf91K4xVNPMejy32mX7ad6dvrsljcWmn6kRxkhM6ggCVQZEX1ERjx7qDSoGKsETyv+dsc0 KWWvWmn6dHHKwtDcPqLrIXaSKNri9s5LiZa0V5GkU8NlRSvIqpdJ5N/PC80oWkHnOGeP0vRluBII mW4t7hkcI8FnHP8AYjXkzTH4ua8SCpVVl35c6R+aVjFO/n3XLXVbh40WCKwiSOFH9SVnYn0IXY8G jUb02+zX4iqzTFWK+QP+mj/7bl7/AMaYqyrFXYqx/wDLz/lAPLP/AGyrH/qGTFUg8/eRfOPmDWBd 6Rrx0u0S1ghjgE+pRfvRcM08hWyurRKNCwX4gzH9loj8eKsWv/y3/O5LoQaR5ptobNVBfUri71Sa 4la3aOa3jFq7yQwozwCKVhI7sjOzF+XDFXs68uI5ABqfEAagH2NBirAP/K+/+Cp/3ccVegYq7FXY q7FXYqlN1+kF1WEq6brIkX7tacXZC1a3CMxQIK0XFU1XlxHIgtT4iBQE+wqcVQ2p/wC8yf8AGe3/ AOT6YqisVdiqFvf96bD/AIzt/wAmJcVRWKuxVif5Zll8mqyqXYXuqEKKAk/pK4232xVCJ+Zv1bUL 7TNb0O807ULO2m1JYEaG6EmnwcVadXjfjz5vx9FSX6Gm+Kozyp+ZnlvzPqDWGmi4W5VJnZJ4vTK/ VxbmRXUksjAXsWzAdSOqtRVXm8yWuheWbzWNQt5/q9teXSvFbRNNKQb6SJGWJfiblUMaDpv0xVIr n88fJdteXlpMl4s9giSXVIQyKslk+oIFkVyjs1rE0gVST2pyoMVZX5a1PXtQsfW1rRW0O7BFbVri G6G47SQmm3yxVK/IH/TR/wDbcvf+NMVZVirsVY/+Xn/KAeWf+2VY/wDUMmKsgxV2KuxV5/8A+V9/ 8FT/ALuOKvQMVdirsVdirsVdirsVQup/7zJ/xnt/+T6YqisVdiqFvf8Aemw/4zt/yYlxVFYq7FWK /lh/yiEf/Mdqn/dSuMVRms+RfLOs6r+ltQt5X1AWcmnLPHdXUBW2mblIiLDLGqlj1cDlTatMVd5b 8ieUvLUnqaHpyWchtYLEyBpJGNvalzEhaRnOxlarfabbkTxWiqlP5a0/zH5YvdF8wxNc2N3d3XrQ xyyQFo0vnkhHqQNE4oFWtG374qpT/ln5KubuS7u7B7u4llt52e6ubm4pJaOjwlRLK4TeJOQWgcCj VG2KsoxVivkD/po/+25e/wDGmKsqxV2Ksf8Ay8/5QDyz/wBsqx/6hkxVkGKuxV2KvP8A/wAr7/4K n/dxxV6BirsVdirsVdirsVdiqF1P/eZP+M9v/wAn0xVFYq7FULfLNztpY42l9GUu6KVDUMbptyKj qw74q767c/8ALBP/AMFB/wBVcVd9duf+WCf/AIKD/qrirySDzD5+0fyjp0/lu2imtWutVe/WTTbv UpUb9N+iAq2c8R/u7iSSnFtoz2qQq9L8oaprmp6Kt3rdj+jdQM06PaUYcUjmZIz8RPLkgB5DY9Rt iqdYqxLUr/VNJ8oXd1oo+tXg1GZQ31aa99NJ9TZZ5Pq1u6TTegju3BGBPHFWHWvn783pNQtobrQv qkF/cS21tIdIupRGArhJ53ivW9OJGVS3qKhflxT4ayhVDv8AmP8AnDFG4k0ENKq2xZo9H1JlR5NP FwY6CcmT1bom35oaQdZa4qzTyLczo3mMLaSy/wC5u8JZDFSvwbfE6n8MVZR9duf+WCf/AIKD/qri rvrtz/ywT/8ABQf9VcVeR/4p/MrRfKnl46BYxXulroWltGg0y9vZmnktZSU521wi05W8aE+nRPVD MeK7qvVfLd7qV9oGnXmp2/1TUbi3jku7biyenKygunFyWWh7HFUxxV2KvP8A/wAr7/4Kn/dxxV6B irsVdirsVdirsVdiqF1P/eZP+M9v/wAn0xVFYq7FXYq7FXYqxX8sP+UQj/5jtU/7qVxirKsVdiqW +Xjbmwl9AEJ9cvqg9eYvJvU/4euKplirsVYr5A/6aP8A7bl7/wAaYqyrFXYqx/8ALz/lAPLP/bKs f+oZMVZBirsVdirz/wD8r7/4Kn/dxxV6BirsVdirsVdirsVdiqF1P/eZP+M9v/yfTFUVirsVdirs VdirFfyw/wCUQj/5jtU/7qVxirKsVdiqXaBIXsZWMXpUvL1eNKV43cq8u326cvpxVMcVdirFfIH/ AE0f/bcvf+NMVZVirsVY/wDl5/ygHln/ALZVj/1DJirIMVdirsVef/8Alff/AAVP+7jir0DFXYq7 FXYq7FXYq7FULqf+8yf8Z7f/AJPpiqKxV2KuxV2KuxViv5Yf8ohH/wAx2qf91K4xVlWKuxVL9CFw LKT6wQZPrd5xIp9g3cvp9P8AIpiqYYq7FWK+QP8Apo/+25e/8aYqyrFXYqx/8vP+UA8s/wDbKsf+ oZMVZBirsVdirz//AMr7/wCCp/3ccVegYq7FXYq7FXYq7FXYqg9WdI7MPIwRFmtyzMaAD103JOKr v0tpX/LbB/yNT+uKu/S2lf8ALbB/yNT+uKu/S2lf8tsH/I1P64q79LaV/wAtsH/I1P64q79LaV/y 2wf8jU/rirzPQvzDtPK/ljToZNJv9TF/d6q8UtgbMooGsNB8X1i4tzvJdRgcQevscVZj5P8AP2ke a3uhp0FxFFbgPFPOIgk8Zmmt/Ui9OSRgBLayIRIqtt0pQ4qyXFUt0FFi0+YCT1x9bvm5DfdruVin U/Yrx+jFXlo/5yDm+qfXDotsbSO3trm6u1vbp7eBbuVY1E06ae0UZh5/vw7AqVZUEjKRirR/5yDv Ire3uLjy/b8LqKae3ig1F5JpIo7W1ukMcbWkXM8L1TLQ/Aqs1WpirNPI1/YwP5kSa4iif9OXp4u6 qafB2JxVk/6W0r/ltg/5Gp/XFXfpbSv+W2D/AJGp/XFXmmlfmnp3ljyp5esLvSNRuli0LT7p7y2+ pmERtaSSH++uYZKrHZzMfg6LtUlQVWb+TfONh5r0x9Qsree2iVowEufS5Mk0EdzDKphkmThJDOjD 4q70IB2xVPsVdirz/wD8r7/4Kn/dxxV6BirsVdirsVdirsVdirsVdirsVdirsVdirBpPyuhksH02 fUBd6a0txKlpeWNjdKourn626fvomqvq8W3/AJV7gYqjNP8AIt5ps11Pp2rLZT3ziS9lt9PsInmc bBpWSIF2FerYqjf0D5m/6maf/pFtP+qeKrY/LvmKJSsfmOZFLMxC2lmBydizHaPqzEk++Krv0D5m /wCpmn/6RbT/AKp4q79A+Zv+pmn/AOkW0/6p4qifLXl4aJbXURu5L2a9upb24nlVEJkmpyAWMKoH w4qm+KuxV5J5u8n6lo+hafYw20nmm3trdYIYZbCynZRp8Ra2Dk2s5rQvwNPtbD4mxVItF80+YtE1 AaYulXvlrQJJZ5p9R/R9vZ2ykPOhkf8A0OKASTPBGFVpQz+otK7VVZBP5s85C0L20upSaiYpLldH kt7KO9W3WFZI5JIBE7IHkYx9wGBFeXw4qlPmD8x/PlgXfR7TWfMFoeRtLzTrOG5gnCCEtxltrW4j /wB2yD7VOUdK1PwqoP8ATHnP/lYX6R/ROv8A1v6h+j/0p9SHpen631j0uH1P0q8fi9T1Kcvg64q/ /9k= xmp.did:934B1489E13EE011BD72E80EFD0914B4 xmp.iid:934B1489E13EE011BD72E80EFD0914B4 uuid:AB8A250648D2DF118416A0EBEF05A4A6 uuid:0454B9ECA2D1DF11BE9CF77A0CDFCB74 uuid:0454B9ECA2D1DF11BE9CF77A0CDFCB74 converted from application/postscript to application/vnd.adobe.illustrator saved xmp.iid:934B1489E13EE011BD72E80EFD0914B4 2011-02-22T16:34:15-08:00 Adobe Illustrator CS5 / converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator uuid:0454B9ECA2D1DF11BE9CF77A0CDFCB74 Basic RGB 6.832994 5.950005 Inches 1 False False Helvetica Helvetica Medium Type 1 003.001 False HV______.PFB; HV______.PFM Cyan Magenta Yellow Black Default Swatch Group 0 K=100 GRAY PROCESS 255 K=90 GRAY PROCESS 229 K=80 GRAY PROCESS 203 K=70 GRAY PROCESS 178 K=60 GRAY PROCESS 152 K=50 GRAY PROCESS 127 K=40 GRAY PROCESS 101 K=30 GRAY PROCESS 76 K=20 GRAY PROCESS 50 K=10 GRAY PROCESS 25 K=5 GRAY PROCESS 12 White RGB PROCESS 255 255 255 endstream endobj 305 0 obj << /Annots [306 0 R 307 0 R 308 0 R 309 0 R 310 0 R 311 0 R 312 0 R 313 0 R 314 0 R 315 0 R 316 0 R 321 0 R] /Contents [322 0 R 319 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 1417 0 R /Resources 317 0 R /Rotate 0 /Type /Page >> endobj 306 0 obj << /A << /S /URI /URI (http://www.xilinx.com) >> /Border [0 0 0] /Rect [275.58 26.4 336.42 37.56] /Subtype /Link /Type /Annot >> endobj 307 0 obj << /Border [0 0 0] /Dest (G3.451502) /Rect [424.44 302.7 463.68 314.7] /Subtype /Link /Type /Annot >> endobj 308 0 obj << /Border [0 0 0] /Dest (G3.463994) /Rect [279.24 475.08 327.6 487.08] /Subtype /Link /Type /Annot >> endobj 309 0 obj << /Border [0 0 0] /Dest (G3.473329) /Rect [336.72 688.32 346.74 700.32] /Subtype /Link /Type /Annot >> endobj 310 0 obj << /Border [0 0 0] /Dest (G3.473143) /Rect [351.72 688.32 396.12 700.32] /Subtype /Link /Type /Annot >> endobj 311 0 obj << /Border [0 0 0] /Dest (G3.473339) /Rect [395.58 614.1 405.54 626.1] /Subtype /Link /Type /Annot >> endobj 312 0 obj << /Border [0 0 0] /Dest (G3.473143) /Rect [410.52 614.1 454.98 626.1] /Subtype /Link /Type /Annot >> endobj 313 0 obj << /Border [0 0 0] /Dest (G3.473349) /Rect [392.46 532.56 402.42 544.56] /Subtype /Link /Type /Annot >> endobj 314 0 obj << /Border [0 0 0] /Dest (G3.473143) /Rect [407.22 532.56 451.62 544.56] /Subtype /Link /Type /Annot >> endobj 315 0 obj << /Border [0 0 0] /Dest (G3.473143) /Rect [364.74 439.08 409.14 451.08] /Subtype /Link /Type /Annot >> endobj 316 0 obj << /Border [0 0 0] /Dest (G3.473359) /Rect [455.88 439.08 465.9 451.08] /Subtype /Link /Type /Annot >> endobj 317 0 obj << /ColorSpace << /Cs8 1435 0 R >> /ExtGState << /GS1 1436 0 R /GS2 803 0 R >> /Font << /F1 1437 0 R /F10 804 0 R /F11 810 0 R /F3 769 0 R /F4 772 0 R /F8 800 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC28 792 0 R /MC29 318 0 R >> /XObject << /Iabc1879 320 0 R >> >> endobj 318 0 obj << /Metadata 323 0 R >> endobj 319 0 obj << /Length 18 >> stream q /Iabc1879 Do Q endstream endobj 320 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc1880 780 0 R /Gabc1881 782 0 R >> /Font << /Fabc1882 791 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n UOvջCp<@BLf;|/8y)e2 f 1Bs4dLdiNM$R9!^\y( ^rŸs@61 * XٷGӮBxg(eFIG1 ?JhxaxOBD>.PkP=PLSVaz֦b/mk: s[({L̇h*dKRG"J.\[-:ufg[XLOۏ}bH&} d_ EXBYB Ȱ)xp´!bd,98`s2ҫcD9 ?^󧱜@wP&O;2FKh8JE;i z7}&n endstream endobj 321 0 obj << /A << /S /URI /Type /Action /URI (http://www.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG932&Title=Kintex-7%20FPGA%20KC7\ 24%20GTX%20Transceiver%20Characterization%20Board&releaseVersion=2.2&docPage=19) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 322 0 obj << /Filter /FlateDecode /Length 4726 >> stream xZmoH.`C;rdد$[NIfb@(bkO2<쯿jRfWWU{&\OvRumkoj7ĵb ֏ZӘi;`3 r `xdKSceuutɓXsa҃Fcz/o' 6Wu`.g <bP_M궸,ۄj;+ot3t7,9-+z)]mW.c^#['wNse*F/SSǢbX{,Qַ%dG*dSh$ x,( ۗsZPws# VyEO;]i^vmt/5V!cT9 ID_DA(Ln*?ekW̯0 s>Y?}ܕX`5Hwˆ&(}٬ wI0 cYB6C$c>9_n竝yәwg_Fb$]$FZO/aqfq;m3'g̶. ;dDv׃5[y~;qf9R;||eB,u|>e#vSl#O!'QW">'\PH:]! +q{_u@H Kc\%WwjJ'v+Еgt7l :C=+T@*D_Eioݶ5@oF2uxkg5B`G9~}[{ ${,m{0 ^ЎXt8? _cIZt}9 Mf:+VAN*,Z3k\ &qude#2FPHz{VYyVQׂWI]#ل?n 1k#f2) "Q2̑b xBqc#G"*xY]XE?6 x68kU[T{8nF6R`'KÙV@`3U;y儩n# L) 6 bK]"Tu3)jJ*0$QKS=BC[`Wm뵙48K#OH!3"g@a#ۋyf47m3+ǩ3R42RVlsHE030Fhsafq,aB*J=Qmd'eJէVpD* >cdSҩ1oXLԳ]Y%3!yF1 DZ)ٹiTem+h̊C<`ty3Go[\ݕHjՌsi eX]V0NMEI\nk-l"7l"Ռm#B[kPGဎܢ$)Џ&e~'np91hSEg*)N Re_V덄}GUz2nP]9,VB SdNaw#D,+x2|+ PR!j],w5볒z=|%A3:>O3&ETծ`'ӒF'An[>@ۏK65ʕ~S^0K\_(rg ?/K`ߺXy$&# JE yiŧ-[tx8R\jY/M&y_Gt7h|qz/ 8bS`[ 1/f>15\i@:( >bۉ}BQАR]q4f6(H-! .e+~Iӻ`c8ibÒqW"S'Y4vtj.D[?{%n+VQXA3؈jL픭Vif)FAlJR9٩wfm.ʪ-Zu 19H|8[&ŕ߫}Iax;]LĘ.fRIL|FwKcAqqF|p~1}k jO&SDh-mQ/#r'MdǷ9k3ͽϸn}nac٬߽bT$ǶF^EYq2t7Տ{}>Cer5%Jls9,`g캺R?Es\f_v Lh4Hq"ۢĥ|)m/tf{_IEζurh9xkc!!gB9fFŖ%~Fdc1rf)EM.q~5*3_hp}#٪Uf4fVElK'}LP`m۲}=\ \L5vdB{;]o> &N2 X:TUkj @Ɍu#HurapګqXbKNa%GHty*ژEWQ[WϟS%"=v!v:' ,r!A(w}c}W{ C )wQDѱH19PL}``5 xն*;ĪlFIb|Sn8{jDA]+C$i;:,N2Q8tJq>;%{SB[ndT*'-\qPX MQ⋑Ycѐ>,zXY؊ZX&]]Z@Ş.By Ns>a˾U9XaL]:`Q s[i>09 tܳRa%ɿ6GbJ,Q?$45#$>}\=:uN3< I!eYD\+] hՅЯmN`ݩJм8C:81bY we]is؀zkxX Tti4=;&+qۆV`rF:( D7!;y& tv7-pgTI̍6=>85Dڎo\͘ϵ^0ie:~(d`B\BKEE([$QwvO ܇cѯ·ft06M]6lԚkaugzzNI=x9*HY(h^Ya,S,T<>Rfɸo 5,eۻ\F4C~[mAG[R{"CߎEJ_輇* bXN0#[W/S^{WN7GYqG?" D] .G|˜T.mb;O(vhWK֤^S˜p<,C6Y^|^Oo"|gtvMí7V l[F LsBx3h!yLVBw x<J 0DZyW }"#Olw\'taҜ/W>n9$}zԄX.=vZk =* z5@ LT40wj )Q>r1w塇 &ZN{-DV+U-zfoMru,84lh [d@R|{\&_`)Dsoɼ\5XӢU]LSYZU-Z8 蚤t1.&# =gj  8pa;tE(FjsAc䡇%"wȄ‘]xf ,!j$ *{/}!A^OM>IfP<:ɾ`:#A \Wځ"*T`ߡُJ=H"Go-ߦ|eZnJM`c˻w_8Ԯ=PlFjKr( ԣoɡ6_ T߰W/ƍ18n{yQ.[A\ Kۀ]T endstream endobj 323 0 obj << /Length 29291 /Subtype /XML /Type /Metadata >> stream application/postscript For use with FigureWide tables used in Xilinx FrameMaker document templates. Graphic_Template_FigureWide_011110 Adobe Illustrator CS5 2012-06-28T15:41:05-07:00 2012-06-28T15:41:05-07:00 2012-06-28T15:41:05-07:00 256 156 JPEG /9j/4AAQSkZJRgABAgEASABIAAD/7QAsUGhvdG9zaG9wIDMuMAA4QklNA+0AAAAAABAASAAAAAEA AQBIAAAAAQAB/+4ADkFkb2JlAGTAAAAAAf/bAIQABgQEBAUEBgUFBgkGBQYJCwgGBggLDAoKCwoK DBAMDAwMDAwQDA4PEA8ODBMTFBQTExwbGxscHx8fHx8fHx8fHwEHBwcNDA0YEBAYGhURFRofHx8f Hx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8f/8AAEQgAnAEAAwER AAIRAQMRAf/EAaIAAAAHAQEBAQEAAAAAAAAAAAQFAwIGAQAHCAkKCwEAAgIDAQEBAQEAAAAAAAAA AQACAwQFBgcICQoLEAACAQMDAgQCBgcDBAIGAnMBAgMRBAAFIRIxQVEGE2EicYEUMpGhBxWxQiPB UtHhMxZi8CRygvElQzRTkqKyY3PCNUQnk6OzNhdUZHTD0uIIJoMJChgZhJRFRqS0VtNVKBry4/PE 1OT0ZXWFlaW1xdXl9WZ2hpamtsbW5vY3R1dnd4eXp7fH1+f3OEhYaHiImKi4yNjo+Ck5SVlpeYmZ qbnJ2en5KjpKWmp6ipqqusra6voRAAICAQIDBQUEBQYECAMDbQEAAhEDBCESMUEFURNhIgZxgZEy obHwFMHR4SNCFVJicvEzJDRDghaSUyWiY7LCB3PSNeJEgxdUkwgJChgZJjZFGidkdFU38qOzwygp 0+PzhJSktMTU5PRldYWVpbXF1eX1RlZmdoaWprbG1ub2R1dnd4eXp7fH1+f3OEhYaHiImKi4yNjo +DlJWWl5iZmpucnZ6fkqOkpaanqKmqq6ytrq+v/aAAwDAQACEQMRAD8A9U4q7FXYq7FXYq7FXYq7 FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7F XYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FU PqV/Bp2nXWoXFfQs4ZJ5uIq3CJS7UHjQYqw7/lbuh/8AVu1D/gbb/qvirv8Albuh/wDVu1D/AIG2 /wCq+Ku/5W7of/Vu1D/gbb/qvirv+Vu6H/1btQ/4G2/6r4q7/lbuh/8AVu1D/gbb/qvirv8Albuh /wDVu1D/AIG2/wCq+Ku/5W7of/Vu1D/gbb/qvirv+Vu6H/1btQ/4G2/6r4q7/lbuh/8AVu1D/gbb /qvirLNF1a11fSrbU7UMLe6QSRrIAHA6UYAkVHzxVG4q7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7F XYq7FXYq+efMevInmnzEv5gea/Mnk65S+lh8syWRuININiAotpecMUkUruamT1m6/DtirJLTXfM2 m+cfy31DV9ci1Gx8waZd6XqdxZTOdMmu4oxdW93GnwRc5lRl5hB4dMVYz5C/M7zJYzebvMOu3s0+ la5pN95o8sW1xIzJbwWF1NALeIOSBzjaB+K9a9OuKoa41vU9F078v9G87+Ztas9I1HQ5r+8u9OuL iTU5tTeRJuFw8IlvPRiilKjj8NdjsMVZv5B1vV9a/JDW7+/vZNRtXj1dNGvrlka7l0+P1Et2uvT+ H1uIIbv474qxHFXYq7FXYq7FUxXy9rbWUd8llLJaygsksY5igNN+NSNx3xVL2VlJVgQRsQdjiqbe Wkie8mHoxz3XoMbGGYBkeaq7FTsx4cuIPemKq+sWkj6Ut/dWA068WcQFFjMKyqULFhGdgUK0PHbf FXov5bf8oNo//GH/AI3bFWS4q7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq82vPLX5v6Xq esp5b1LSdU0TWLiS7ji8wG7eayacASwxelzSSAEVSM8eNaYqk/mX8jtUk/JjSvJGgalEuuaLPHeW Op3PKGL12lkacgRrM0a8LiQIoU0FB74qqfmV+Rs3mPy35Q0TRLuKyi8venYXjSs6erpTxpHdRrwV +Tv6CEBqA92GKp95p8l+bI/PFr508oS6c2oJph0a607VfVSA2/r/AFhJIZYFd0dWJBHAhh4UxVR0 rybdeVPyu8zWt9cR3Op6imq6pqL26GO3W4vEeR44EYlljT7K1+e2KvPMVdiq5GZHV1+0pBFQDuPY 4qjv07qX80X/ACIh/wCaMVd+ndS/mi/5EQ/80YqyC1/MrVLTTIbO3tofUiUhp3GxJJNQicFXrirH 9X1zU9XmE19L6jD7ICqoH0KBiqv5Zill1IxxzWkFYzzN6EaNhyHwqJARzr0pT54qmvnID6tAW06Z JFYL+km5LC4ofgSPnNGK9dm7dMVZv+W3/KDaP/xh/wCN2xVkuKuxV2KuxV2KuxV2KuxV2KuxV2Ku xV2KuxV2KuxV2KuxV2KuxV2KpN51/wCUN17/ALZ13/yYfFXiGKpN5t1q50bR2vbaNJZvViiVJCQv 72QJU8d9q4qxL/lYXmb/AJZLL/gpcVd/ysLzN/yyWX/BS4q7/lYXmb/lksv+ClxVlX5er5588X99 aWD6ZYtYRRSyGdLiQMJWZRTgy9OGKs4/5VH+Z/8A1dNE/wCRN3/zXirG4oNZstW1jR9Xe3lvdJuk t2mtFdYnWW0gulIEhZqj6xQ/LFUTybjxqeNa8e1fHFXsX5bf8oNo/wDxh/43bFWRyyxQxPLK6xxR qXkkchVVVFSSTsABiqDtde0O70j9NWuo2txo4jeY6lFNG9t6cVfUf1lYx8U4nka7UOKpbpn5h+QN VvEstL8zaVf3sv8Ad2ttfW00rf6qI7MfuxVW1vzt5M0G5S11zXtO0q5kT1Y4L27gt5GjJKh1WV1J WqkVxVFaL5j8va7bvc6JqlpqtujcHmsp4rhFbwLRMwBxVZpfmnyzq91PaaVq9lqF1a/71W9rcRTS Rb0/eJGzFd/HFUzxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxVJvOv/KG69/2zrv8A5MPirx6x fRfSKX8Vx6nIlZ4HSgWg2Mbrv335DFWK/m8NLOju+ll/qcl1bOkcq8WjrcD939p+XHxrirzbFXYq 7FXs3/OMH/KQ+Yv+YS0/5OzYq+h8VeAeY/8AyYnnP/to23/dIscVQ2KvY/y2/wCUG0f/AIw/8bti rFf+ciPNUejfl++mCdre68y3EekRzRo8rxQTmt3N6cYeRglur/ZFakYq888h+YfL0Pkz82PJOhzS SaLp9jf6n5fM0U8D/Ur20f1IljuEjk4wTgrUrvy74qyG98meTbj/AJxos9SudNtbe/tPK1tqFtqc cSR3MV5DYLLFMsyBZOfqgdDVunfFUjl1HXr380PKuoT+Vh5t1a78gWs1/pkklpD6csl2jyz1uysd Vc8aDf4vCuKpH5pv9XsL38ytQj0L/BGrL5WtoYdBt2ic3EEt4Y5dRMlr+55RhjEOJJGKvSfOflry 95V1b8sLryxZwWV1HrMGko9sio02n3NpN64kKgeoP3avVq779cVewYq7FXYq7FXYq7FXYq7FXYq7 FXYq7FXYq7FXYqk3nX/lDde/7Z13/wAmHxV4hiqW+YdDi1vTWsZZngUvHIJY+JYNG4cfaDDqPDFW Of8AKsov+rvdf8BB/wBU8Vd/yrKL/q73X/AQf9U8Vd/yrKL/AKu91/wEH/VPFU58saJ5i8q3Nzc+ X/Mc9pLdokdwz21rNyWMllHxxkChY9MVZF/ij80/+pwk/wCkCw/6pYqgLWDUfreoX+p37ajqOpTr cXN08ccNSkEVsoCRBUAEcC9sVROKvY/y2/5QbR/+MP8Axu2Kq+o+TNL1HzdpHmi7kme90OG4h062 5J9XRrsBZZuPDmZCi8AedKdsVQHmn8tNC8yayNXuri6tbw6Xe6LObV41Wazvk4usnOOQkxsecdCK N1qNsVY9afkFoKWVlpepeYtf1nQLFYki0G/vIjYslvQQpJHBBAzonAUUtTxxVmA8maUPPKecxJMN TTSzoqwAoLf6ubgXPLjw58+a0rzpTt3xVDap+Xnl/VPNM/mK+9Waa70h9AvLFjGbWayklMzB1Kep y5Mdw427Yqlvlv8AKHQND1aw1J9R1TV5NIjeHQ7fU7kTw2KSLwb6uoRDX0/g5OWPHauKs5xV2Kux V2KuxV2KuxV2KuxV2KuxV2KuxV2KuxVJvOv/AChuvf8AbOu/+TD4q8YFheGy+vCJjaCQxGYbqHAB 4nw2YYqxb8wbm/sdAdreWS0uPrEEbOnwuoeVVYbjbY4qwH9Ja9/1eL3/AJGj/mnFXfpLXv8Aq8Xv /I0f804q79Ja9/1eL3/kaP8AmnFXof5LeU4/Oer6vba3q2ptHZW8EkHoXbwnlK8itXiN/sDFXrX/ ACoPyl/1dNb/AO4jLirzuTSI9E8z+ZdFguLi5tNOvoY7V7uVp5QkunWk7KXbenqTMRiqtir2P8tv +UG0f/jD/wAbtiqca3rFjouj32r37+nZafBJdXLilRHChdqVpvQbYqxzy7+aflvzB+X1z55sY7ka XZw3U1zaSoi3afUgxljZA7JzISqjn3G4xVILX8/9AaxstV1Ly55g0fQL5Yni16+s4vqKpcAGKSSS CedkR+QoxWmKpv5p/NjT9B80QeWoND1fXdUnsBqippEME6rbGUw8mMk8J+2B0FNxiqK8ofmZo/mT U73R2sNQ0PWrGFbqbS9XgW3nNs7FROnB5UePkKVDbHriqM8v+ffLnmDzDreh6TP9auNAW1N9cxlH ty14JGRI5EZuTIITz2FKgda0VZFirsVdirsVdirsVdirsVdirsVdirsVdirsVdiqTedf+UN17/tn Xf8AyYfFXken601rBHavEJbTlMbiKtDIk6xqy13oV9EMp7HFWK/nHqMF9oULwiUrFLaRGW4YPLIR cA8nYAdAwUewGKvMcVdirsVezf8AOMH/ACkPmL/mEtP+Ts2KvofFXgHmP/yYnnP/ALaNt/3SLHFU Nir2P8tv+UG0f/jD/wAbtirC/wDnIG+1S/0/RPImjWg1HUvNF4GuLEyiAPp+n0ubpWmIYRB+KJyI 7nY9MVYVaX3mDQ7n80vLuv6RHoCeZtEvvMWk6fFcrdxLKtq1vfcZkSIFpGCyceIoPHriqfXnnTyj b/8AONFnp02oWtzqF35WttOttLilSS5lu57BII4VhQtJz9Rh0FR9GKpZa+XPOsf5r+V9G0zW49E1 zT/y+tob+9mtFvw/o3kccsfptJCATJRuXLtTviqf+StM1vT/AM8tasPN2oR+YtXvfLkE9lqiW62k Udgt48Utp9WUyL8Up5k8ziqP/LPRtH0b83/zKsNIsbfTrGODQTHaWkSQRKWt7lmIjjCqKk1O2KvV cVdirsVdirsVdirsVdirsVdirsVdirsVdirsVSbzr/yhuvf9s67/AOTD4q8QxVC6nZabe2jW+pRR zWjEF45gChINVrXbriqTf4T8hf8AVusP+BjxV3+E/IX/AFbrD/gY8Vd/hPyF/wBW6w/4GPFVp8t+ QYW/3ms4CR0V1jqPkrLirv0H5D8LX/kf/wA34qm2jWWjWlvIukrEsEkheUxNzBk4qtSanfiqjFUf ir2P8tv+UG0f/jD/AMbtiqdvpWmPqUeqPZwNqcUTQRXxjQzrCx5NGstOYQsKla0xVS1Hy/oOpzxT 6lptrezwxzQwy3EEcrpFcp6c8as6sQsqfC4GzDY4ql2l/l75B0m8S+0vy1pVhex/3d1a2NtDKtf5 XjRWH34qm36K0z9JjVvqcH6UEH1UX/pp9Y+rl/U9H1ac/T5jlxrSu+KtNpGktqias1lA2qxxG3j1 AxIbhYS3IxCWnMIW341pXFV0Gmabb311fwWkMV9eiMXt2karLMIQViEsgHJ+AYheR2rtiqJxV2Ku xV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxVJvOv/KG69/2zrv8A5MPirx6HSpZdP+uK4+KR444QGLt6 SCSVthxCorAmp/VirD/zZ02W28um3ulR1kuLRlKlZI5EadaMrCqsDirzL9F6Z/yyQ/8AItP6Yq79 F6Z/yyQ/8i0/pirv0Xpn/LJD/wAi0/pir13/AJxv8seWtR13Xo9Q0myvI4rW2aJLi3ilVWaSUMVD qaE0FcVe9f8AKvPIH/Us6V/0g23/ADRirxjVNO0/TvPPm+z0+2is7OLUbf0ra3RYo15aTYs3FEAU VYkn3xVrFXsf5bf8oNo//GH/AI3bFVfz75qg8p+TdX8xSqH/AEdbtJDEakSTt8EEe2/7yVlX6cVY d5S/NDzDqf5X+Zdb1aytrPzf5WXUYdT09A/1dbqyiaaL4C7Sem6cP2996HFUog8/fnXZ+RrLz9qN noGp6A9hBq1/p1gl5bXyWcsSzyNG80s0LPFG1Sp602OKplrP5geetV8/ab5c8jPo4sb/AMup5iS8 1WG6kLJJciFVX0JoqVV0bdfHFVFfzV86eX9T8xaH5s0u01TVtI0hNbsW8vrPwnSSY2627xTGWRJD JQ1BPw70xVF/40/M3QNa8tx+cbTR303zLeLpqRaYbkXVpcyxPLGHMrOk6D0yrsoSnXFXp+KuxV2K uxV2KuxV2KuxV2KuxV2KuxV2KuxV2KpN51/5Q3Xv+2dd/wDJh8VeP6drep6cjpaTemkhq6lUcE9P 2w1KjY06jY4qxP8ANW9vdS8vhzDyME1sqQWsNAsazh24xxjxYsdsVeaepL/yy3f/AEi3H/VPFXep L/yy3f8A0i3H/VPFXepL/wAst3/0i3H/AFTxV6b+Q3nTy95X1nWp9fmmsIru2t47dpLW6PJo5JCw osTHYMOuKvZ/+V5/ld/1eH/6Q73/AKoYq8vudZ07W/N3mnV9MkabTrzUIGtp2jki5iPTLOJiFlVG oJI2Xp2xVUxV7H+W3/KDaP8A8Yf+N2xVif5w6D5k83a35X8o6W1xYaabh9X1fW1t/Wgh+oitpCfU UwSNJOwPpsei1IIxViWo+TfPPljXvOVtNcXvmq288eXL1ptRhsVjCanZWzxwxvHaJ6SGaF+KmgLt tucVVLXzX5kv/wAoLDyBpHk/Xk8x3Gh2+iSXGoafLZWMDm1W2nmkuZwq8YxyIoCW8MVRM35Qadff mnoOkavp93eeW9E8lQ2EOoRPd2sJurW8WNENxbvF8Zi5N6ZfpvTauKqUuiX/AOVfnXzNdeS/L1/q Gk3/AJdjvIbZI7u/Eurw3bQRxfWCJpdoZOZj9SvGpGKu/L65tLjzPp2seatL82635vlYRQalqWj3 NrpmntN8L/VoqCK3QDZpGqxG9d6Yq92xV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxVJvOv/KG6 9/2zrv8A5MPirxDFUJqWpRWEUTvHLO880dvBBbxtLLJLK3FERF3JJxVd6mvf9Svrv/cOn/piqXav 5nTRfROs6Vqmmrcllga5sZ0DsoqQu29BiqA/5WT5Z/5e/wDpEn/5pxV3/KyfLP8Ay9/9Ik//ADTi rv8AlZPln/l7/wCkSf8A5pxVOND1/TdbtpbiwZykEpglEkbRMHCK9OLgH7MinFUxxV7H+W3/ACg2 j/8AGH/jdsVZLirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdiqTedf+UN17/t nXf/ACYfFXlnl3QrfUoruSaVR6Uf7uNZESUOZI1DlZCqlKOf2tzt1piqSa9pN5pfmjyxa3SgN+nd MeN13V0NyKOp8DTFX0Pirwv/AJym/wB5PK//ADFXP/JgYq8GxV2KuxVmX5Yf7w6x/wBtH/sTtsVZ nir2P8tv+UG0f/jD/wAbtirzf/nI/XfOGk6p5Ml8s3tzbzxS6jf3NpBLJGl1Fplst48MqIQJFZIW XiwPXFUq/wCckvzE1iXyjpcPkvU7iya5sj5ivNQs5XgmXTl9OGEc42VgJprpe/7OKsmsbfXfzL80 eZ2m8waloflny5qL6JZWOjT/AFOaa6tkRrmee4UeoRykARAQKfiqlWq655r8qL598kXeuXeqrb+U 7zzD5a1idgt/boiSQSRSzxhC7pLxeOSgb+Cqg/lTzHpP5S2v5gaN5x15testGh1ua21G/lvrGfhb LczwyW8xPwuvIDiwI8cVSzzl510nUvzK0WXX/MWu6B5d1LyhZ6rb2uiz36f6XcXUhBeOySQ/3RIL MvYb9MVen/lMfK01hqF35d17W9dtnlSKZ9clvpGieNS1IVvkiZQwk+IqKHbwxVfY6ze63+bep6bF cyw6V5RsbcXFtGzKlxfaoGkVpQNnWG3jHFT+09eoGKvJfyj/ADB82xflpqGleZtTuLq/1XQ9Q1ry trM00jXEiwLJHc2/rOxf1baRFkX4q8GrsFxVnvl78yNZg8r+R9E0vTJfM/mzU/Ltnqt4s90LdUtx BEj3FxcyrKzPLM9BRWLGpNMVSa7/ADd826x558jW2haY1vbXF7qmneYNJuLqONxe2ULfWLeQiOUU tlCzxurfvKhfhxVlX/OQuq6npX5Ra5f6XeT2F9E9iIrq2keGVA+oW6NxdCrDkrFTQ9DTFXfnRqup 6fH5INheT2Zu/N2kWt16Ejxerbyu4khk4Eco3p8SnY4qhU/ObULvzHqNjpHlmTU9K0fUTpWpXMF5 E1+kiSLFJMmmhWleFGb7XIfCC1KDFVbXPzd1a1utcm0XyvNrPl/yxI8Ou6qt1FAyyQIJLlba3dSZ zAjfF8S77CuKqt9+bN5cazcWPlHy/J5lttNs7bUNXvI7mO1EcV7GZ4EgSVSZ5nhHPhVRuPi3xVhX 5cea/MNj5Q/LDzJqGp3WoW+vySaBrCXU8k5eW5nmayuf3hb94kkXpM3Uq4B+ytFXvOKuxV2KuxVJ vOv/AChuvf8AbOu/+TD4q8QxVL9WN9FNpV/Z2xvJdM1KzvmtQ6xs6W8odlVnooNB3xVnv/K9dY/6 ku6/6TrT/mrFXn/5teZvMvnyPSIrby3JYfo6WWV3nu7dwwkj4ADgScVYB/hHzh/1bo/+khP6Yq7/ AAj5w/6t0f8A0kJ/TFXf4R84f9W6P/pIT+mKss8h6LqmlWN+uoxLDNdXhnSNXElE+rwxbkf5URxV k2KvY/y2/wCUG0f/AIw/8btiqF81+Tb/AFnzx5N1yNoP0f5fk1FtQgmLc5FvbJrZBGoVlb4m+LkR t49MVeZQ/wDOPPmaLyT5y0N9Stbq/wBUih0vyxPNJNwttJtbo3MMMzCIsrfvDyCKw2G+Ks0vvJHn zQPM+ra55AvNNa21+RbnVdF1kTrCt2qhGuYJrcO6mRVHNWUiv4KoQflX5nv9L85al5g1K0vfOXmn R59GtTAkkNhY27xSLHBEW9SYoZZOcjsK+A8VUtHkD859U8mWfkTV7zQdM8uraQadqF9pz3lzfSWk EaxskazRQxI0qpxZjWlemKplrnkPz9p35i2vmfyRHoxsLfy9D5fWy1Sa6iKLDdPOCnoQy1AXgoq3 jtirMvKL/mC63X+MIdJhYFPqX6IluZQR8Xqer9Yjip+zx4174qx7S7ZtA/OXXDcClr51s7W6sJ+3 1vSozBPb/wCsYGSRfEK3gcVY6/5H6vP+R2leS5by3g816LHK+napCzmBJ5HlDKXaMSelLDM0cg4d +hoMVTCz/LXzpoI8q6x5dudOk8w6P5etvLer2t8ZxZ3EMCo6yRSxJ6qskysRWP4lb9nFUHZ/lB5s 0zUvLfmC0vrC81+01rUtZ8wCcTQ20r6xB9XmFtwWVx6MSqsav9qlSR0xVn35ieTLbzr5L1TyxcTt apqMaqlyg5GOWKRZYn41XlxkjUkVFcVYgPI/5n+ZNX8vt56vtIGk+XL2LVIo9JS49a8vLZWWB5jM FWFVLcyqcqn6KKpL5u/Jfzd5i1m5Nw+iGOW/W7s/NqwyW+v2kAlWRYENukccrRqvpo7yfZ6iuKoT zD/zj3fTa9rtxpdh5evbbX7ya/OqaxDPLf2Mt0eUyxRoDDOivV4w7LQmhqMVZL/yrrzx5b1q7vfI t5pi22r2NjZajHqcciGCXToPqsNzbLbLwb9zQGI8VqBRgMVSDTfI+padpf5ZflrcyxXGoaBff4g1 e4tizQpbWEkzwbuqH99cTIq1H7Ldhir3DFXYq7FXYqk3nX/lDde/7Z13/wAmHxV4hirsVdirsVdi rsVdirsVdir2P8tv+UG0f/jD/wAbtirJcVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdi rsVdirsVQmr6dHqek3umyO0cd7BLbvItOSiVChIrtUcsVYH/AMqcH/V8m/5ERYq7/lTg/wCr5N/y IixV3/KnB/1fJv8AkRFirv8AlTg/6vk3/IiLFXf8qcH/AFfJv+REWKu/5U4P+r5N/wAiIsVd/wAq cH/V8m/5ERYq7/lTg/6vk3/IiLFXf8qcH/V8m/5ERYqzfy9o0ei6LaaVHK0yWkfpiVwAzbkkkDbv iqYYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7 FXYq7FXYq7FXYqxX8xdc1PRtM0m40+b0Hudb0myuXKowNtdX0UM6/GGA5RuVr1HYg4qxLzX5581W /ny/8r6bepbC+m0Ow0+5eKOQWbXyajPdThSB6jtFYqqK5KhqbdQVUo1Lz/r2n+vo8/m6aC1t9Qtk 1DzLe6WbK9sbW4tbt09WG6tYLORZrqzWKKVIyCGKmjcWKq2z/MTzxdaZ5Y8xzal9XGtz6LBbaPb2 oksWjvBbrfPf3bwk2shedzbqJxyAWivyOKsm/L3X/Nt/5turO81ca5Y21nINbmt4I00+z1dZ1C2d hcLFC86pEXEnqF2UqtSpJXFU88x+eNS07zA2kaXo41RrO0g1HVZGuktTFbXM7wR+iroyyyfuJGKs 6Ci/aqQMVW+XNe8yXf5i+a9H1MQRadplrpkulQ27mQlbqS9DzSu0cTCSQQJVByVeIoSSxKqz809e 80aJodne6H9XSM6lp0Go3MzH1Ugub+C3KwRGKRJDJ6pVizLxXdatSiq99e8yL+bVroEogi8vy6Le XsAjcvNNcQ3NnHzlDRr6QjEzqio7cqkt2AVVfPmq6tBceXdH0y7fTZdf1I2c2pokUjwxRWlxdv6Y nSWL1ZPqwReaMNyabYq8qf8AN3zpcR8Gubi1i0GykutW1S0tLWWCVodVurAzXiTsrrbmOx5sLQep V2I2SmKsm0DV/O2q6pfae3mt5Le3spm1/WbK2tfqOmanDcRMtrp889txuFEPqpMJg5SgPJWPHFUN p/mf8x7TyZ5f1qTUv0jbeYfMGnIt3fR28F3DpF5fQQwIkFvbRxO9zCxaQsVMYei1YA4qnNnr3m1v zIj0uPVxqareXP6a0i2gjNjp2mmGRrF5Lv0VmW9kYRFojIwPJqKFAfFXpWKuxV2KuxV2KuxV2Kux V2KuxV2KuxV2KuxV2KuxV2KuxVI/O3+FP8L33+LPS/QPFfrfrcqV5r6fHh+89T1OPp8Pi5U470xV hFl/yo7/AArq1Of6P+tQfpX69+kv0p9c2+qf71f7kvV6ehw3/k74qgR/yoj0tV/46X13nZ/pXl+n /wBLerwu/q3Ll/p/P0frNab+l9r4OOKpn/yBL9P6d6VPrFdN+p/V/r36L9T00/Rfrel/uP8AW9P0 /q/q/HTjx/ZxVLvLf6N/Rlv/AMqZ9f1eJ4/4j/xN+h/qfI+p9W+tf6P6/rcKcPi48/fFUVe/oD67 Zf8AK2P0d/imq+h+h/0l9T+o+snofX/2PS+tVp9Z/dcum9cVZTqtP0p5g/wf9S/x79U0763+kfrX 1X6t60/1b1fS2+z9Z4+n8VactqYqly/4h/QN3/ytf9D/AKO+uad9R/Q/17j9Y+uR/V/W5/H/AL1e jx4/D15/DXFU61r9Gf4hX6h6H+Of0PffoX6z6/oeh6tv6nren8Pp/WPq/L9uleP7WKsTvP8AFf1U /wDK1/0L+hfUi/Rn+Hv0t+kf0jzHo/V/S/0jnw509H4/9jXFVHU/+VEfU9G+s0+ofUk+qfVv0h6H 1D1/h/SP1f8Ad+h9YrX678PPlX4uWKrPK3/Knf8ADmofoX9O/wCGf0Zd/WfrH+Jf0f8AUeJ+s+h9 a/c86cqej+868e+Ks01X/BX+HdG+v8f0L9a0v9DcfWp9Y+sRfo7j6fx/33p/a+H+b4a4qx3y1/yr X/F99+gf03+k/wBJXn6Q9P8AxB+i/wBIcm+tepy/3G15V6/D04/s4q//2Q== xmp.did:12604E1263C1E11187F5E9CCA055BAD0 xmp.iid:12604E1263C1E11187F5E9CCA055BAD0 xmp.iid:1AECAB9E62B6E111873AF31CDCA1F964 xmp.did:1AECAB9E62B6E111873AF31CDCA1F964 uuid:1DA62102733EDF11B695964E86F25341 converted from application/postscript to application/vnd.adobe.illustrator saved xmp.iid:9945C2365D40E0118C499251296ACDC4 2011-02-24T17:34:22-08:00 Adobe Illustrator CS5 / saved xmp.iid:9A45C2365D40E0118C499251296ACDC4 2011-02-24T17:42:59-08:00 Adobe Illustrator CS5 / converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator saved xmp.iid:A0E42EE6E65BE01181B5D6B2CE2A6802 2011-03-31T17:58:23-07:00 Adobe Illustrator CS5 / converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator saved xmp.iid:1AECAB9E62B6E111873AF31CDCA1F964 2012-06-14T14:34:28-07:00 Adobe Illustrator CS5 / converted from application/postscript to application/vnd.adobe.illustrator saved xmp.iid:12604E1263C1E11187F5E9CCA055BAD0 2012-06-28T15:41:05-07:00 Adobe Illustrator CS5 / converted from application/postscript to application/vnd.adobe.illustrator uuid:1DA62102733EDF11B695964E86F25341 Basic RGB 6.833333 1.299995 Inches 1 False False Helvetica Helvetica Medium Type 1 003.001 False HV______.PFB; HV______.PFM Helvetica-Oblique Helvetica Oblique Type 1 003.001 False HVO_____.PFB; HVO_____.PFM Cyan Magenta Yellow Black Default Swatch Group 0 K=100 GRAY PROCESS 255 K=90 GRAY PROCESS 229 K=80 GRAY PROCESS 203 K=70 GRAY PROCESS 178 K=60 GRAY PROCESS 152 K=50 GRAY PROCESS 127 K=40 GRAY PROCESS 101 K=30 GRAY PROCESS 76 K=20 GRAY PROCESS 50 K=10 GRAY PROCESS 25 K=5 GRAY PROCESS 12 White RGB PROCESS 255 255 255 endstream endobj 324 0 obj << /Annots [325 0 R 326 0 R 327 0 R 328 0 R 329 0 R 330 0 R 331 0 R 332 0 R 333 0 R 334 0 R 338 0 R] /Contents [339 0 R 336 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 1417 0 R /Resources 335 0 R /Rotate 0 /Type /Page >> endobj 325 0 obj << /A << /S /URI /URI (http://www.xilinx.com) >> /Border [0 0 0] /Rect [275.58 26.4 336.42 37.56] /Subtype /Link /Type /Annot >> endobj 326 0 obj << /Border [0 0 0] /Dest (G3.451825) /Rect [353.4 657.84 392.64 669.84] /Subtype /Link /Type /Annot >> endobj 327 0 obj << /Border [0 0 0] /Dest (G3.452007) /Rect [367.2 458.58 406.5 470.58] /Subtype /Link /Type /Annot >> endobj 328 0 obj << /Border [0 0 0] /Dest (G3.479379) /Rect [251.7 222.6 290.94 234.6] /Subtype /Link /Type /Annot >> endobj 329 0 obj << /Border [0 0 0] /Dest (G3.473369) /Rect [218.1 687.6 228.06 699.6] /Subtype /Link /Type /Annot >> endobj 330 0 obj << /Border [0 0 0] /Dest (G3.473143) /Rect [233.1 687.6 277.5 699.6] /Subtype /Link /Type /Annot >> endobj 331 0 obj << /Border [0 0 0] /Dest (G3.473384) /Rect [197.16 500.58 207.12 512.58] /Subtype /Link /Type /Annot >> endobj 332 0 obj << /Border [0 0 0] /Dest (G3.473143) /Rect [212.1 500.58 256.56 512.58] /Subtype /Link /Type /Annot >> endobj 333 0 obj << /Border [0 0 0] /Dest (G3.473394) /Rect [197.16 276.6 207.12 288.6] /Subtype /Link /Type /Annot >> endobj 334 0 obj << /Border [0 0 0] /Dest (G3.473143) /Rect [212.1 276.6 256.56 288.6] /Subtype /Link /Type /Annot >> endobj 335 0 obj << /ColorSpace << /Cs8 1435 0 R >> /ExtGState << /GS1 1436 0 R >> /Font << /F1 1437 0 R /F3 769 0 R /F4 772 0 R /F8 800 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC30 792 0 R >> /XObject << /Iabc1898 337 0 R >> >> endobj 336 0 obj << /Length 18 >> stream q /Iabc1898 Do Q endstream endobj 337 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 108 14] /Resources << /ExtGState << /Gabc1899 780 0 R /Gabc1900 782 0 R >> /Font << /Fabc1901 791 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U]hߟ}j]֠zfQNtD'W9F&Y:)WIkp:3ϳ-nQ~C25>S~Y2ȚC^B 0)xpĸ!ld)8` hT:VE9 ?^|v+Os(R.*x P?|۾{wk endstream endobj 338 0 obj << /A << /S /URI /Type /Action /URI (http://www.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG932&Title=Kintex-7%20FPGA%20KC7\ 24%20GTX%20Transceiver%20Characterization%20Board&releaseVersion=2.2&docPage=20) >> /Border [0 0 0] /Rect [108 14 194 36] /Subtype /Link /Type /Annot >> endobj 339 0 obj << /Filter /FlateDecode /Length 4880 >> stream xZ[sƒ~WxN0 `vKViHD*$e'u.%9־HLO~Ǒ.>=ſ푍eduتrYi]۶٦;:?u?Cɲ6&lsq|]6Y|f8U<Slced6eeu򻳣OLf͂ geUξ*mNq֗D-?_|)bU}y\b}UG $~j2`Q Kyt!=>qeHgEKo :*, }ꖟMvI2lsy(CbmUz)B`hKGJ3SZSBoۧ/g[_b383d6;WBŵDle40L`)I0sJ怛@azEϯ? I4MY%3j{ l \)y;F C|^]nvoeK OYfG c,Clf&,l֖a,3תL-ei&yÓ[/]}wr|@lġM;vMw c/כU,=<6bK;a;dD%3u`kk-dȖε-#ɕ0:X$SF9#Bnq~or~;>.s]1z?Av v{4FD{(Ĭ+-dVbnB̖Q%PVQI^=sLTY(}C>0c}I~7>+XFOfq486) NnJS#ba!`唇Ņg4 `Q`z:a"\Vm}=b>h % +>0oDhto1BsHpᘠQDEֳ̫\#yzIczghHiKFi3(hpʺYMl: %o+(RGiXb+|+u& qU̓39:m 2OPrƪe3q;)uV!#BFAГjp aՂI<ބ5U+jNR`;G"D˂QѣE `ih3NK3V cVꄒi2MV6G95=PUeCrOQ `,cNkՁu?YЕ倧5 sJ!5uSFTf-0dC*`XR&K^ıXGQv(%E=H)UZUTݽp$*r .1<$SG1ml'ֳ<;J&^ hʹ Q헪/٪^|o.{^S Rf%&5a ! Cljr:vquК.旗>SĄ rR 64YI_ݶz}d}~q7cͥ ,u#txnR|-W7;”OvcZoIYwn[/2"o9o~.߻}L3O;脱"Ln6C3=/ۢ?^ͱW 1Nn+WA:/70t~Ny'WLiWTn6 :Ve͜:_!.Q.a0ЭcZ+lo@UtC #l 潺"8w \߬eivZT5etPŌ> u ƈ$=?#`CE8HR8>^Ѯӏ8TC|KO/+@b2Vi ƑJ"54Lؼ^omWQӛns -,e-MD=ĉ e)8EJp d  Io"@t1%|эC4ɶ?4O 3\-'ܑ  9.l?_lWW݃reZ/ऋ9e| ˨4;z G?~{^=Kca/R=#{1JE!/,2C\6#|Uˣ̆t?֋.C? kꝝ1vxacK/γ` E(TʃcYԉZLe+Ii"q:0f<;_ &v5Qq\ ² Ea }ꮄywEΪ>io^ί:9ȢxZSz? d&\z?pme_j?_% mEٚpht٫3*+sI!} :M.+pa 'U(|?i(:bjOfGE+bFH Oӳ/dɏթ^cUH:p kw',Mh@l'%e ULCצ.+Q|E'Z˻cI?I6.ܛOp>oTMz:vkYf?0y& %yoaޯC.HpZt_e nA%͟H?-Aq˛4+M?H<>߼L%  oTNޏ&XPrGm4yZl2)5.KF2.%qrѲm4Mb=zj[m\ߞ>~#1q50,I#??y{ԢNpB޶ GY9U{}/\Et>_/MiD (A_Q A ҡmi աAz L'fNL,o jq8 jajwf@- j da }GRD>zj~q(9$J7|5촑4S@5[aJ8ܽYҦcR{!ydYE@%W ĎD햸nݧQ^Ci~<.{4s~{P<]i./g̼`Avza1{e(C4J^ڤX^xwO؃]a2tK t)`8iQ.>9o/EMJ(qlQld- /casg8ujI1U$Ğ$zlޅW|O|?1i]h1h4m`֬ ]] ZJSxEl{/kNN%#"M6ʈe3B\L?|E~,>"{WcU>!$xة?t;cL4OA)~ + *N%z64wU>SfÏy/@̲ܪ@S)Sءab+ik{&mJ3&ݒ o70LflwO?  endstream endobj 340 0 obj << /Annots [341 0 R 345 0 R] /Contents [346 0 R 343 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 1418 0 R /Resources 342 0 R /Rotate 0 /Type /Page >> endobj 341 0 obj << /A << /S /URI /URI (http://www.xilinx.com) >> /Border [0 0 0] /Rect [275.58 26.4 336.42 37.56] /Subtype /Link /Type /Annot >> endobj 342 0 obj << /ColorSpace << /Cs8 1435 0 R >> /ExtGState << /GS1 1436 0 R >> /Font << /F1 1437 0 R /F2 1438 0 R /F3 769 0 R /F4 772 0 R /F8 800 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC31 792 0 R >> /XObject << /Iabc1917 344 0 R >> >> endobj 343 0 obj << /Length 18 >> stream q /Iabc1917 Do Q endstream endobj 344 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc1918 780 0 R /Gabc1919 782 0 R >> /Font << /Fabc1920 791 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=.PkP=(E> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 346 0 obj << /Filter /FlateDecode /Length 3605 >> stream xZr}*<θٺIUb뵼/2EN>#ޜ\vID_v}Nwq񓙪^<>߻mUpuj:Sڤ컙}uڷ۠TUmbuzlkS\g+łtskn>& 46NImsrTnR/gۮSZf(~|t3wmZSW߆zWMӋwu)W\^_muz/Oaط?Kר,2(GP]?7k]9\PiW"6ƣXWm1LS7 AwUaD:wɘjZ7^Xz/FWt_5Ջ7r۟*ݩ\=:2dz!Lk4߭s Lmtb:gK'hGTHc̠ =eZe&2vM>rOԙ(T<2- 2&j*:2?`ra XlbဍY(%YH&"}T]XaPB% hhYD|2 Qug QgmLȑdݦ.ekH&"֓٪EB6^e=C@bl`c2\-hyADMeŤ1{`$dIvh^'|9B=67JHDfgؒ` % JȐ}T0Édɍ= Vgp]"ѓʊٟ3ݸVZg0- d"6tɠ\cFf9&lDm;HV/J7 */c^{r*ɂE)*%rUXm'ѳe3̧2۟{dapX"|:9ųO> Tq GM"uu(֍ů4\g3˻\}Mx*zPan>>^<;球woY3rb⼬q"T(] <ΝCXGK%ū-Gº>3B8$Ma to( i ෴Qem wL0D$g X~I^\^U+&a/PHL&Fh 93 c,Yٕ@ŵ.Y "! |bHwMh9#>^&hHd|qg} 9,On_2vL#3p=lc793 U|4`7Yn P{S+ؗ Mкh/Ђ {~ rmV 1iTr2(x2r*2y-NG&˜gi `Ѷȴg_.4.tw8TBL'd3KE gTCS#=Γ9C{I~HKY:k: #Rw7 հq>İe:8 .-tO^,>vj RXʶVԑ+FHӗ9NOɭM! 8!`#_( +#H.d ܃>% ,djgDs\C-/?\XI5 bl4 Rzr+ x_jNy&TL2cBy(?Q~tJ\6JO_h+QZWjވQiA^gӘ6doyUeCFy^ӹ?^j֏x|@WN߾ {]:DpD̨TO^ nDķr&jn@9?\ bի+fn4bO[, (8O7:Ô]L$kQdZ=99u/`%DzҦnl$68[%c< 0_5g^?]Kr|>y]p}?x`0oP{cC6}="D󭧶pێk |{=kD3֡=Rmu "c=ofCΉB~43Npڞv=OE8Ctpc4nA͎n9!]lӞfL#joG6-7[:EoU݃im[hxO)E{kڞ3lVm̾=\a={#~{8SƻW-d_]Վ endstream endobj 347 0 obj << /Annots [348 0 R 349 0 R 350 0 R 351 0 R 352 0 R 353 0 R 354 0 R 355 0 R 356 0 R 360 0 R] /Contents [361 0 R 358 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 1418 0 R /Resources 357 0 R /Rotate 0 /Type /Page >> endobj 348 0 obj << /A << /S /URI /URI (http://www.xilinx.com) >> /Border [0 0 0] /Rect [275.58 26.4 336.42 37.56] /Subtype /Link /Type /Annot >> endobj 349 0 obj << /Border [0 0 0] /Dest (G3.482153) /Rect [246.6 657.6 290.28 669.6] /Subtype /Link /Type /Annot >> endobj 350 0 obj << /Border [0 0 0] /Dest (G3.473424) /Rect [327.72 335.58 337.68 347.58] /Subtype /Link /Type /Annot >> endobj 351 0 obj << /Border [0 0 0] /Dest (G3.482153) /Rect [315.66 359.58 359.34 371.58] /Subtype /Link /Type /Annot >> endobj 352 0 obj << /Border [0 0 0] /Dest (G3.473414) /Rect [197.16 389.58 207.12 401.58] /Subtype /Link /Type /Annot >> endobj 353 0 obj << /Border [0 0 0] /Dest (G3.473143) /Rect [212.1 389.58 256.56 401.58] /Subtype /Link /Type /Annot >> endobj 354 0 obj << /Border [0 0 0] /Dest (G3.473143) /Rect [342.72 335.58 387.12 347.58] /Subtype /Link /Type /Annot >> endobj 355 0 obj << /Border [0 0 0] /Dest (G3.473404) /Rect [197.16 687.6 207.12 699.6] /Subtype /Link /Type /Annot >> endobj 356 0 obj << /Border [0 0 0] /Dest (G3.473143) /Rect [212.1 687.6 256.56 699.6] /Subtype /Link /Type /Annot >> endobj 357 0 obj << /ColorSpace << /Cs8 1435 0 R >> /ExtGState << /GS1 1436 0 R >> /Font << /F1 1437 0 R /F3 769 0 R /F4 772 0 R /F8 800 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC32 792 0 R >> /XObject << /Iabc1936 359 0 R >> >> endobj 358 0 obj << /Length 18 >> stream q /Iabc1936 Do Q endstream endobj 359 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 108 14] /Resources << /ExtGState << /Gabc1937 780 0 R /Gabc1938 782 0 R >> /Font << /Fabc1939 791 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n OvջCp<@BLf;|/8y)e2 f 1Bs4dLdiNM$R9!^\y( ^rŸs@61 * XٷGӮBxg(eFIG1 ?JhxaxOBD>.PkP=PLSVaz֦b/mk: s[({L̇h*dKRG"*pm(<8יq|mau3l?k㋡%5l)l,ȱaSi ܟBd#Xr>q|s(dWLj$sx2UOc9ΡLH9vhwe™pv҂bwo9 endstream endobj 360 0 obj << /A << /S /URI /Type /Action /URI (http://www.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG932&Title=Kintex-7%20FPGA%20KC7\ 24%20GTX%20Transceiver%20Characterization%20Board&releaseVersion=2.2&docPage=22) >> /Border [0 0 0] /Rect [108 14 194 36] /Subtype /Link /Type /Annot >> endobj 361 0 obj << /Filter /FlateDecode /Length 3936 >> stream xZ]s}gU<Τ1ɛ,^'kJުu*EӴŔDiIv740!-x+/$򻉮O=;fbBR}l}ZmUkluz1y71t^ZWjULĻٶKWl(.vAi3U&w>VSHZ~}ʱ3-<3hK:ĵnA\-Yztv3^գNN'?D,ɒ/G?.`7W7b^Ϋ5{tI|C;b3E%!ht\OLkmW6)s?aZŴO)҇C_@Έ}D§Ls9Ba p̟r!D#"Œm tHi=ZGU!|.Xv'9 mp5v׋ ֘<uaHhMLqɱ~ \?rw(;`{xc JC A1͊$L֙e>cc[y쵋HMuD)f~3{vŏE#-µڏLrn"@FE n>Fa4L@573H@ e [8Oos@E/m<sjggʏPa]^2EtN|8#eSp 6 0FUiI&"Cp\-b'H确WSö-s?"۞ZC&AV#Ml7fK ^ 0nNA@:xNt&J'k>Ȋ) /b|)P/&,gXHB1S6^I6АʔBLz]h0å, ژ\9ŢtN0NXb+|X`D"C\-癬=2 OtAF2Y6%q&n;e6EH<{24Z$dEpY,oOMEi^XgfDD˜QGFv:dvhA) E:d7=e?e C#ayXXML!h@B,Q}G5f!ITeO0i]v p",5#1[ő MnA1"K(.S7xb96D$e"y7y2}kլB^9 `Ae[)G!LnG3sw~WrzIK#F{,^R>9۠|<#ozQ}|)ŗA 8zpPήnʷB\)O?OmЏH#OQ9Tqj8F𔍺-nnKݤ)ҳS.Stv)ksPzDE@ҍw/svXоZR~g_V9ty#gۮ<[|Rdе?K-쾞_'@Mu oe|X͞gfn9Yg.evyUʱb' H(L e4:"Jh`T(aϲyʲ}nnNIg'LsI_/9q3^޹C/J7nՁw|N=~.8;%ALV.[nF+AW~!A,!#u!cU|Η]̼;Fv =4}btb.!#~g4bhkn.r>ks{aT SOM@pnM`׈%[p/ T̡uJ_tȳzPrhC79^5AOemFRϳ1(-Tߊ>aJ5'AJ%aKkx^_t//D:L3N~pqJe9Hݔ//#N!v5N](_mn\m:4v݋s&DeC~oHr5uj7[0lQB " ݒM*&H՘ +VXȕ20`vV̰La%N:lʹϑ9 W( |*d 'BV1gY.|--Ljz,p~Jc8K]oX0Wʟt3UkwθvR`@E}u;2Y` ׼|?{CWL+h6A{p8D2(BdM4x.1> endobj 363 0 obj << /A << /S /URI /URI (http://www.xilinx.com) >> /Border [0 0 0] /Rect [275.58 26.4 336.42 37.56] /Subtype /Link /Type /Annot >> endobj 364 0 obj << /Border [0 0 0] /Dest (G3.446360) /Rect [162 709.62 210.84 721.62] /Subtype /Link /Type /Annot >> endobj 365 0 obj << /Border [0 0 0] /Dest (G3.446454) /Rect [246.6 328.68 295.5 340.68] /Subtype /Link /Type /Annot >> endobj 366 0 obj << /Border [0 0 0] /Dest (G3.446456) /Rect [192.54 299.82 238.5 311.1] /Subtype /Link /Type /Annot >> endobj 367 0 obj << /Border [0 0 0] /Dest (G3.453379) /Rect [246.6 505.44 289.74 517.44] /Subtype /Link /Type /Annot >> endobj 368 0 obj << /Border [0 0 0] /Dest (G3.473434) /Rect [197.16 535.44 207.12 547.44] /Subtype /Link /Type /Annot >> endobj 369 0 obj << /Border [0 0 0] /Dest (G3.473143) /Rect [212.1 535.44 256.56 547.44] /Subtype /Link /Type /Annot >> endobj 370 0 obj << /Border [0 0 0] /Dest (G3.473444) /Rect [197.16 358.44 207.12 370.44] /Subtype /Link /Type /Annot >> endobj 371 0 obj << /Border [0 0 0] /Dest (G3.473143) /Rect [212.1 358.44 256.56 370.44] /Subtype /Link /Type /Annot >> endobj 372 0 obj << /ColorSpace << /Cs8 1435 0 R >> /ExtGState << /GS1 1436 0 R /GS2 803 0 R >> /Font << /F1 1437 0 R /F10 804 0 R /F2 1438 0 R /F3 769 0 R /F4 772 0 R /F7 807 0 R /F8 800 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC33 792 0 R /MC34 373 0 R >> /XObject << /Iabc1955 375 0 R >> >> endobj 373 0 obj << /Metadata 378 0 R >> endobj 374 0 obj << /Length 18 >> stream q /Iabc1955 Do Q endstream endobj 375 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc1956 780 0 R /Gabc1957 782 0 R >> /Font << /Fabc1958 791 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U]hߟ}j]֠zfQN?dQHL_%ee8>϶Fh^_ DZ`O6Oaeɐ"ky / ̧9?ɒ F0t)QXI*dxQUh>ΡDHIO;p%4CNZu^lM@` endstream endobj 376 0 obj << /A << /S /URI /Type /Action /URI (http://www.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG932&Title=Kintex-7%20FPGA%20KC7\ 24%20GTX%20Transceiver%20Characterization%20Board&releaseVersion=2.2&docPage=23) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 377 0 obj << /Filter /FlateDecode /Length 4955 >> stream x\[s7~W<Μ:3}؛ds%*JmqW"wϯ?_70C EQlE@ї ˉN8ÿm׉Bh҈VXNޞ7Z'>jlRBVNޝ8+um섓V(*p7A7LvfJ<Ɵ՜oj_͗aK7\z(z6K, 5d&TwaǶ}l%݌E%\{ZGtjK xGo 'H&h!cziĚiu-:xm f=[ѐBw 1(SThUIzK<5@m]tV/ &tW(9=EA(VT!Ԯ᪠&C$05J@n,@c:)nڹ H= cSpd(`eb1nhSgqK)?Vh uDMBGTPU*_2$ “d41G`Ƞc$VD:JTfb2Zi=,SQh.:.VS7wkct;5VڴQ'B['܆gF2MS#A/hM 4m44Ц'Zx+2z[Ȑ,Du*Uyjp],.YȋChGod)rQ 3@ `OE;捌Ncb1*wݩ NhQ:InI\"IB7NZɒz2IoZR'jΫh* ؘT%HDM$W˔@G#z ۉd;VM:YI>1ْ;2MER-eˆtp>f̖cB_MBI$#vŹy讚YժùQm:]Õ|bOLST>˚ζk[ݬA?ҩv3K &Yjb\,f8ڭJv|U|dg+80f|AD:=17KfS#8)bSmj/uNOɔDWN7A'A*H#OޝArL' % Ar4@I9 ֔rޖ7 o- :SJEvcݐn,.D_sb@LYMHloԢa. )8njICxhIcḪVmٞ5@h@ӛ삟oY #z?"]a}&k Ҝe$7F_s$Z]%AW5 &szp剬̎ާb..w] (^c:PWx@hՌ%O,hl%83r痳GkbYoXto> %_ '7h:UxBZA:z9E^hjVj1!jrZ5k}?SßS̘ʎzP@fj*Λe]]+)|;䥀8Ye }Hy vY&zia -=;{Zc8ρ,$ @ؼoFY,6ink⏜(m+gZ5:`%?{{B+(aѿ]ޤbM X嶌V*K[Xzkʯ~j!Nb~X]MtF#tzv}%f/4%[}ԑ u{APٚ,Æ;:綺3^ހbYԷsӛXm_G>onAex p2'24Uΐ\<0uiqN!ƚ@\d[^|ƼWI'T^c݈r1"R$9Rxv=I!Ur:R9-h.RDD#SDJA]pzB^Pgs \//H t˂Ѕ`^u'ܪ7 5,g]eϊp#8}$0GBw7:[Y&bf, %Tb|; eì2L{Մ = u"XD#|qld?ۊK.TRC&bI׾I!0Կ_Uzp8h.zBug_GE#B2$mIb0|U:g4t:,s6*tӴ{4u +e}O%mG[ԉv +mto&wKgy{Ag9a2|NM}]ƖSvtiRe?0Mr-ȉ, 9u> 4Fv3K7kz)H0rn+=pY(^M#)h#Z\ YH<%>4}mz+h8XzDQߊ]nt ! wGj K@+&q+7u-w#q6a9G9[sp8[쒥qv8p~} j vc#ۆL)d>!aulG x٪BiUA}TLwUΝ4#p&)l*6Fhb#dzҺЛTT(MJ¤5&>-8a~x#ًcpLr>&>GU̓},Ã4cs ϋzt QAЕotexMVQ؄(}v=0kڨG$#Q'8 V[T02?wBLӝ)=><{]x* v]6T 7<ۄB 'Ȟ=8<==H((20q1|:*`B)ɲH("e?'c3 Y:}LFu|Ci! t m{TFgGYCrHޏ#@YZgJ)uJ;R OzhJ^O:*8OR81P'1Le[VXlAGGߵ.n(Ջ唃_7ҥd)EBUW$nM;z;[4a=h[řN/"I3`uiKoffK'$ |I%_LʷZjtPgO2ft%]3+wºNX{kI-7t3\Mŷډ8O雄N˳Ee%p \PxSǛ%W3#/чU@t_n R{};}߷fXOXer1X$Rm.Ч\}{% 03EM?#*} 2=/";̗s_Np endstream endobj 378 0 obj << /Length 34218 /Subtype /XML /Type /Metadata >> stream application/postscript For use with FigureWide tables used in Xilinx FrameMaker document templates. Graphic_Template_FigureWide_090809 Adobe Illustrator CS5 2012-06-25T10:19:09-07:00 2012-06-25T10:19:09-07:00 2012-06-25T10:19:09-07:00 256 164 JPEG /9j/4AAQSkZJRgABAgEASABIAAD/7QAsUGhvdG9zaG9wIDMuMAA4QklNA+0AAAAAABAASAAAAAEA AQBIAAAAAQAB/+4ADkFkb2JlAGTAAAAAAf/bAIQABgQEBAUEBgUFBgkGBQYJCwgGBggLDAoKCwoK DBAMDAwMDAwQDA4PEA8ODBMTFBQTExwbGxscHx8fHx8fHx8fHwEHBwcNDA0YEBAYGhURFRofHx8f Hx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8f/8AAEQgApAEAAwER AAIRAQMRAf/EAaIAAAAHAQEBAQEAAAAAAAAAAAQFAwIGAQAHCAkKCwEAAgIDAQEBAQEAAAAAAAAA AQACAwQFBgcICQoLEAACAQMDAgQCBgcDBAIGAnMBAgMRBAAFIRIxQVEGE2EicYEUMpGhBxWxQiPB UtHhMxZi8CRygvElQzRTkqKyY3PCNUQnk6OzNhdUZHTD0uIIJoMJChgZhJRFRqS0VtNVKBry4/PE 1OT0ZXWFlaW1xdXl9WZ2hpamtsbW5vY3R1dnd4eXp7fH1+f3OEhYaHiImKi4yNjo+Ck5SVlpeYmZ qbnJ2en5KjpKWmp6ipqqusra6voRAAICAQIDBQUEBQYECAMDbQEAAhEDBCESMUEFURNhIgZxgZEy obHwFMHR4SNCFVJicvEzJDRDghaSUyWiY7LCB3PSNeJEgxdUkwgJChgZJjZFGidkdFU38qOzwygp 0+PzhJSktMTU5PRldYWVpbXF1eX1RlZmdoaWprbG1ub2R1dnd4eXp7fH1+f3OEhYaHiImKi4yNjo +DlJWWl5iZmpucnZ6fkqOkpaanqKmqq6ytrq+v/aAAwDAQACEQMRAD8A9U4q7FXYq7FXYq7FXYq7 FXYq7FXYqxH8yte1bRrHQpdNn9B73X9JsLk8EflbXV2kU0fxhqckYio3HY4qgbbzN5xb84h5dvoL e18vHRry9slhk9aW4kiu7WJZpi0UZiKrKwCKxG5qTtRVIrHzN56sfN/l2y1fWobrVNYknfW/KEMV uyaZYiGWVLhbiIGUCNljQtK5Ehb4aYqhvyf866rrt3p02ueatQkvtTtpbmDQb3So7C1kANT9UuTb QtP6CkV4SN47jfFXsWKuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2Kux V2KuxVB6xrGmaNpd1quqXC2un2UTz3Nw9aJGgLMaCpOw6AVPbFURb3ENxbxXELc4ZkWSN9xVWFQd /Y4qwTUPytu73zP9ffXpP8Pvq1tr8uiNAGf69aJGsfC6L8kh5QI7R8DvWhFcVZFL5XEnnq181fWa G20u40v6nwry+sXEE/q+py24/V+PHjvXrtuqxHyZ+V3m/wAuXdw8vmm0u4NRmmn1eVNKMF/dPNyN WvfrcrKULfB8JCgUAGKpnov5fa7DrOk6h5g8yPrsfl9ZRo6NapBMHmiNu0t1MHk9eT0WZaqqDckg nFWUa95g0fQNObUdXuVtbRWWPmQzszyHiiRogZ3diaBVBJxVS8t+adB8y2L3ujXP1mCKVrecNHJD LFMgBaOWGZY5Y3AYHiyg74qmuKuxVIG8+eVF8qXHmw31PL9p6/1i99Kb4fq0zW837vh6p4yxsuy7 9RtiqF1z80PIuh6lNp2p6n6N1ahGveEFxNFbCQVT6zNFG8UFVIP7xl236YqhdV/ODyBpmr3Wk3N9 cSX9l6X1pLXT9Qu0T14lnj/e20EsZ5RyK2zd8VXXv5t+RbO8FlLc3j3X1eC7eGDTNSuGSG6UvC0v o28nplwp+F6EUNRtirJdG1nS9a0u21XSrlLzT7xBJbXMZqrqfxBB2IO4OxxVLPMnnzyr5buIbbV7 xo7qdDLHbQQXF1L6SmjStHbRyusYPV2AX3xVTtfzF8lXdpql5barHNaaNZxajqNwiyGOO0nieaOY MFpIrRxMfgr0p12xVXtfO3le7bQVt74St5mhe50QLHL+/hiiWZ3+z+7Co6k+px3NOu2Kptb3tncv cR208c0lpJ6F0kbq5il4LJ6cgBPFuEitxO9CD3xVWxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxVg35 26Bourflf5lk1OyhvH07Sr+8sWmQOYbiO0kKSx1+y69jirKfLgI8vaWDsRaQVH/PJcVePaX5x/O/ zHe+bbvRtS8uabofl3XNQ0gNqcNwHEdkwIkd0YpT03Wp23riqP0m6/5yB1m0+uaR5m8malaciv1i 0S6nj5DqOcbMtcVW6xffn9olstzrPmjyXpls7BFnvFubdC56KGkZRU+GKqLebvzp0HzZ5Qs/MV/o GoaP5mvfqofTIbjnw9Iyc1eRuNCOhFcVZj+cHmfQfLHlyy1nVbaG5urfUIBoi3MpggS/kSRI5ZZB 9lI42kZtjsNhWmKqH5Pz6RfWOs6zb6/aeYdX1a9W41u708FLWOZYI4Y4IUJLBI4Y1ALHk3U+GKvQ MVdir5zvdbsIvye1z8sXMg89T3eo2VvofpSevK15qcs0MsdFo0JimV/Vrxp3xVMfM2t6bp2s+bTZ eZ5PLGqXVzMb7ylqtlHf2upukKwxzW8fFZmS7hjQERSH3FdsVSXSvOVzpHnLzOLrzZpX5f3Nymiy z6JfWH1opJ+hbXlHH/pFtwWE/u+NO2Ksw0eXzhqf5meabzyjq2lGK40jQJpb29tJ7mO4WWK7aGWA QXEARWBZvi5dR4bqsm/IgoPyv0uKlLiCe/hvhy5Vu0v5xcMNl4q8vJ1UioUgYqkX5j6jpumeffr0 nmS48kaqdMhgttXnghutNv4BPK5t2jlWnrQOa0WRXIcUrirBLu11i7m8o27aVHpyef7IaJqNvaQN bQCLTtUF2ZxbuAYxc2Ek7hW3+KhxVlP5W6PLL+YutaLcxutj5EtbrSbEksh4azeveRGNlIZTHZxR JVSOuKso/JrSbPSZPPOn2YkW2g8zTiMTSyzyfFYWTEtLM0kjklq1Zjir0bFXYq0zotOTBeRoKmlS e2Kt4q7FXYq7FXYq7FXn/lu085a9aX2ot5tu7RRqurWsNrFaaeyRw2Wp3FrCoZ4Gc0jhXdiTiqbf 4V83/wDU633/AEh6b/2TYq7/AAr5v/6nW+/6Q9N/7JsVd/hXzf8A9Trff9Iem/8AZNirGfNp/MHS b3S9K0fX7/V9Z1YztbwyRaZaW8cVqqtLJNP9TmK09RFUBCSTiqI8lDzb5m0P9InzVqNhdQ3FxZX1 k9tpchhubOZoJkEi23F1DoeLDqMVeYeTPJnmTWNH87/V7p9bg03z9ez3/l6dbaGLU/qskLyF5OCA SPswQsIiVAIAxV6r+X+h6n/i7W/Mr6I/lnTtQtbW1j0yYwCe4nt3lZ7uaO2kmiQhZFjT4ixA3244 qpeedF1SLzxZeZB5dfzTpo02TTxZwm2M1pM0vqNKkd3JDEyzrRHYNyHAdicVeY615M81aPqH5ZWd 5qcmlyXWv3stlpMCW00WlJcGWaOCGQxt6vpI4T4qoP2RSmKvY7/Q/Men2VxfXvnu8t7O1jea5nkt NNCJHGpZ2Y/VugArirHvy41DzV528vPrUXmfU9PjW8urRbae100y0tpTGGcfVV4M1KlP2TtU4qyn /Cvm/wD6nW+/6Q9N/wCybFXf4V83/wDU633/AEh6b/2TYqwCTzV5vT8qdQ8//wCIb4/UPrv+4309 N+P6leS2n999S25+ly+xtWm/XFW9S178zf0rrlt5f1DUNatPLcgh1SQfomC6aX0UuGS1tTZyNLSO VaFmQM1QtaYqhl1785NS8w6xpflu4uL620c2iy3N7Pp1hKWvLOK7CtbnTJihUTcSC3UYqrPqn5z3 HmW+8v6VePdXelWdhdak09zp9uqSX6yH0oqaVL6gRoGHI8a7bDFUb5f8r6p+YOgWHmZ/OnmGwadJ IHtIJ47QRyW08kMyMtmsMTlZUcepxqwp2oMVUdQh8+6FrcPk7yvr+pa3qMdl+k5/0jcWKFLeSZol pcXNreTSuzo1F+yopUgUxVL4/PnmxbLzBcX2s6vp0+i6Rbaxb2F1badHcXP1j1YzAU+p/u2W5g9I H4g3IMMVXw+fdbNn5cvbjzTf29pq+l32savI0Gmn9HQ6ciesrKLOsx9eT0hTjuOnbFU98s6v5i1z Vn0iXzDr+jal9W+v21tqenaTG09rzEbTRelHMKKzqGVyrDkKrirKv8K+b/8Aqdb7/pD03/smxV3+ FfN//U633/SHpv8A2TYqwf8AOH8pPP3m/wAnHRbHzQ99PJcwyelfxWttAojJJdpLa3EtV7BcVUfy y/JH8xvK4ibU/wAyNRmiQAHTLZUmgUDqqtfC5FD/AJMSnFXtGKuxV2KuxV2KsV/LT/lHbz/tueYP +65e4qyrFXYq7FWK+fk8xy2tvb6fpJ1rSLn1ItYsra6Nhf0IVoZLa49a2VQrKRIOYJBFDsQVVL8q PLOo+WvJNrpN/GtvJHPdSwWauszW8E9zJLDDJMoUTSIjgPJ+01dz1xVgf5VeZ9N8t6V+ZGo34lkU +fNWgtrW3Qy3E88rQLFDDGKcndunbuSACcVekeVfPFj5gur2wawvdI1awWOS40zUkjjn9GbkIpkM Mk8Txs0bLVXNCCDTFVnmjz7ZaFqMGlRabf61q88LXX6P0yOJ5Ut0bgZpGnkgiVS/wrV6segNDirz zz15l0vzL5h/KbWNMZzaz67OpjmQxSxyRRPHLFLG26PHIhVh4jFXoH5i+T9U816NbabY6pHpiR3c N1dLPbG8huY4CXWCWMTWxKGQIzfFvShFCcVSz8pPLPm/QNP1uLzJcQzSXmsaheWqwwiKqXF1JIZz xmuBSfkHWM0MY+E1OKs8xV2KvE7vyX+Yf+CdU/LCLRY30y/u7sQ+avrcIgSyvb17tmktifrHrIsr JwVONR9qmKqvnDyRrWq6tq8l/wCSI9X1aWSUaH5s029h02WOBhW2W5cSQ3PO32UsqycqdO2KpK35 ceaLbzRrN3r/AJT1PzXLdx6Yqaxp2tLpqTSWumW9tcu0QvbNyWnic1dK/RirLLH8s7zWPOmtaxqy aroen3mmaPDZRWWqy28nqQxzi5hnNnP+9aEui8nJBqeJNTiqP/L3VNJ8j+TNN8tebtT0/RdUsfrK Q213d2kUkloLuYW09A45epEFLMRUtyrvXFUu866XB5r1KHU4vLdl5+8tSW6ppt5p13bQXlldRyOJ +N0ZYeUUnwf3cvJWU/DiqSy/lR55mufIn12T62kKta+bpJLgTMtlb38ep2MJkk4STmNoRAzAEmte lTiqP0fyP5z0DX/PWr2+lRaikVtNB5IsXlhEc4v7iXULlHDuvpoLqVFo/GoXbscVTj8s9K8yR65c 6p5p0bVBr1xa+nNruo3GnPCEEit9UtLWxuJvQjJ+P7O/H4nJpir0rFXYqkXnTzv5d8maN+mvMNw1 rpomjgedY3l4tKaKSsYZ6fIHFVHyz+Y/kPzOF/QGvWWoSPuLeKZROPnCxWUfSuKsjxV2KuxV2Kux V5r5I/L3yDq+l6jqGq+WtK1C/n1zXvWvLqxtppn4a1eIvKSRGZuKqFFT0GKsg/5VP+Vn/Um6H/3D bP8A6p4q7/lU/wCVn/Um6H/3DbP/AKp4q7/lU/5Wf9Sbof8A3DbP/qnirzj83fJ3kXSr3y1p2meX rHS11Oe6a8utM8u2WqXJitrcsESA2lz9qV0q3DYd8VZT5F/Ln8pNS8q2N5Bo2i+YEkD11WTSLC3k kZZGDLJDHbwrG8ZHArwBFN964qwP8rPyx8vaza+en0+3ttG1vSPPGpDQ9Yt7WFpbRLVoWigVaLW3 3KmGoWhNKHfFXrPlbybqem63f6/rmrLq+sXkEVnG8Ft9Tt4LaFmkEccJluGq0khZmaQ12pQDFVnm fyVq995gh8w+X9YTR9WFodPu/rFr9dt57cOZY+UQltmV4pHYqwf9ogg4q8p88/ld5T0XzJ+W9ncW Nrqt7qmu3L67qV1bQGa/knR55TcUWjJ6jnihqFFBirJPzZ8sfln5X8v2T23lfQLG61fUbbTIb99I tbj6uJuUksqwLExldYYn9NKbvQb9MVVfyx8h/lzq+j3r3Oi+X9cS1vZLe1v/ANDWtpdmJURuF7at bW/ozozsCAgBXi1N8VZj/wAqn/Kz/qTdD/7htn/1TxV3/Kp/ys/6k3Q/+4bZ/wDVPFXkMuheV1/5 x91nzYPLehjzBafpT6ve/ojTvh+ralPbw/u/Q9I8Yo1Xdd+p3xVDec9E8m6d5n1y11Cy0Xygto6L 5cjufLdnNpd4hhRxLdX7W0lOczPGypJGUA7nFUs1HTfy2PnbzFp+t6z5e8pRWB0/6hY2+jaJPC63 GnQXEzxy3NnJI6etI3Ek9MVTDUPLH5ar521TSNT1rQPLmnafpulT6fNLpXl2I3slzHMbib/S7Ri1 fSRisdAOXQbYqz/8q/I/kPzD5B0jWNX8m6Cb66SUtMmlWkazRpM8cNwE9P4RPEiy0G3xbYqxr8zf KvkTR/M1taXOjaZ5W8stY+uNdtvLllfpJemZlaCd3trhIESMK26ry5H4hTFWPyWmgWFlrMd3oHlb Ub7UNAsLzyxdafpdg9n9euLl9NZoiYOUgaeW3kKvXjUr0xVF6Ppekai3l60sfJWhahrVho2rXXmT Tl0vTYvrl/p8w06GIu8KrBzu1kk+HjsPDbFWR/l3o3kHXPM+u+XNS8reT9QudFigkmvNI0yFIo5p ZJY5LSVJhKxkhMG7K1N9wp2xV6F/yqf8rP8AqTdD/wC4bZ/9U8Vd/wAqn/Kz/qTdD/7htn/1TxVJ POH5Afld5l0f9GDQ7PRQZUla90m0tLW6ohqUEoiait32xV3lf/nHv8ofLbJLZ+XYLq6Qgi5v+V4/ IdGAmLxqR/kqMVei4q7FXYq7FXYq818keeNF0zS9Rsbm21WSaHXNe5va6Pqt3CeWtXjDjPbW0sT7 NvxY0Ox3BxVkH/Ky/Lv/ACx65/4T+uf9kWKu/wCVl+Xf+WPXP/Cf1z/sixV3/Ky/Lv8Ayx65/wCE /rn/AGRYqx/zP5tXULixvNC1LX9Gu7P1Fkjk8sa3d2k8coXaa3+rQkshWqOrgjfqDiqp5N8x+WPL WiDTgvmC+uJJ7i8vr6Xy7rSNPdXczTzycFsuKgvIaKOgxV5x+XP5ivpNp50ttHtZX1nXfPt/Dpsm oWd7DZRfXHhVWupWjjVJFAP+js6yk0FBWuKvWPJ3mPzOfM+peVfMklne3tnaW+o22pWET26PBcSS RFJoHknMcivCaEOQyntQ4qs81+YfNsvm6Dyr5ZmsrCZbA6ne6hfwyXVUaUwxRQwRy29SWQ+o5f4R TapxV5Z5r/MmLWtd/Le51KzuYtR0rzBeW+qCysr64tZJbT1LZ3spVhYXCu0fIJGWdRsemKvQfOmv +XPMmn2kcQ12w1DTLyHUtMvR5b1qYRXMFQpeJrNQ6sjsrLUbHYg74qs8na/oehfpO7v31zU9a1q5 F5qd6vlnW7aNnSFLeNIoBaycESKJRuzE7kk4qyP/AJWX5d/5Y9c/8J/XP+yLFXf8rL8u/wDLHrn/ AIT+uf8AZFiryK6n8myeX9Qtl816rH+V1zdz3d7Yny3qbKitdGW6thqRt+KQ/WQ4YFOS7ryxVFa/ 5z8v6bqeo6LH54v9Hs/MUs050XUvLWp3F2pvKiZbR5oojwdyeKtE4XoNsVSR/O/kryP5h1S00b8x P8OJPHp8Umj6t5Z1Oe6hWx0+C0h5s5tm+OKJX3jHXFWQWX5q/k3o3mfUNa8yeZfrWoa7p2lIYZ9D 1G2BFrHL/pMSyQyH07n6xyUDYAfabriqZeUvzC0jyb5cs9E0vQvNHmfTgbi6s9S07QLyGARXdzLc RwgXHpE+msgAZBxK0p3AVQXmT8zdHttQj8yrrmseQrrUoVsrrTNe8vX9zFL9XdzFJEqBUSX96d0l YEceS1GKpfHB+VKz+V54dV1dl8htNf6pXQdVJmS9f9IK9zwtUS3j+sRi4Sq8eI223xVHaXe/l1a6 35l1K21nXUk/MOJf0K1pouswzwRiKSeWSwmW2Yylnna45Iu23XriqdeW9StLPzO/mbzBfazrGqrY nS7ZoPKetWKLbtKszGRRbzmSQvGvxcgBvRRU4qzH/lZfl3/lj1z/AMJ/XP8AsixV3/Ky/Lv/ACx6 5/4T+uf9kWKsS/M/8+rHyn5XOsaZpWoXdwk8UbQahpmqadCUckN/pFzaxxq3gCd/DFUD+XP/ADk1 ofnOVLZfLWs21wSA8ltbPf2yePKWAcxT3jGKvZcVdirsVdirsVYr+Wn/ACjt5/23PMH/AHXL3FWV Yq7FXYqwX84dN8lt5TuNb8y6Jba5LpMbjSrW4XkWubpkiihQ9vWl9NTiqYfll5FsvJPlC00S3VBP VrnUJIl4RvdzfFMyINlQH4UUdFAGKsG/J3QtI13S/wAzdL1e1S8sLjzxrIlt5BseJgZSCKEMrAFS DUHcYq9I8seS/LXliO4TRbQwNeMr3c8ks1zPKUHFPUnuHllcKNlBag7Yqt8zeRvK/mZ7aXWbMzXF ny+q3UM01rPGsgAdFmt3ik4PT4l5UPcYq88/MvSdM0jzT+UumaXbR2dhaay8dvbRKFRFFu2wA+8n ucVTj88zK2g6DbJbNqUd3rtnDcaEj+m2pRFJWa15kqgHw+q3qMEIQgnfFVn5KK8H+LLEWL6Hb2er hLXyzI4lOnxtZwPxDoXi4zljMqxMUXlseuKvS8Vdir54utV0xP8AnG/zF5fa6iGui71bTjpZdRc/ W7jV5jFD6RPPm4lUgU6HFUf5hhge88+alpl1oGq6ZcXEyeY9F8wo9rexva26W7xw3aMzLE6RB4OU dByqp74ql/lbUtVuvNnme80XTdAFpdJokyweZbuWK6hWTRLRljBEFyX4qaMzNWuKsht5PMg/NPzZ +hPL2ma2W0rQvXW4vPqsMP7u74CD/Rrn1Ebf+XYDx2VZR+Qyon5U6Ki1DI96s0RAAimF9OJoUoWH pxSco4z3UDp0xVJvPEDzfmTJcaTqGkJrlro0UVxpHmOBjazWck8z+tazowZG5KyTURhTjUdMVeb+ tbtb6Np+i2B0vT/zI0qLy+LOOZ7mFH03VTBcskx+J4jY3UzRN/IBTFWQ+Rrmay80Xdq9ncakv5U6 XqVnb2dsjSTyyXt4xskhQbs7WFoigD+bFUw/JPW7+7/Mnzg+rJqJ1jVLHSru7F1Y3VrDbuhu626C eOP040WRUi5UMnFm68qKvb8VdiqD1TRtI1a2W11Wygv7ZXWVYLmNJo+afZbg4Zar2xVEwQQQRLDB GsUKCiRoAqqPAAbDFV+KuxV2KuxV2KvNfJM/n9dL1FdJstKl08a5r3oyXV1cxTH/AHNXnLkkdvKo +KtKMdsVZB9Z/NT/AKt2hf8ASdef9kmKu+s/mp/1btC/6Trz/skxV31n81P+rdoX/Sdef9kmKsW8 /wCra7bWNh/iyw0NoVvYbnTraO71SaWS7tW9aMpBa2byy+mV5FeJX+YYqnmjeYvzA1rS7fVdKt9A u9Pu15wXEd9ecWFSD1swQQQQwO4Ox3xV415VuPO6eX/PfFRbaK3ny+/xPc6TLcNqEUJkhF2LVUiD mJRTlIpEnDlRcVemflRLat5j1tPLNxeXXkdbe2NvJePcyxLqJeT10s5LqsjR+nwMnxFQ527jFVH8 0Z9NXzfYRebrm/tfJrae5tWtJLqG3bUvV+MXMlmVk5CEIYQ5415U+LFXn2q3X5gy3f5XvdwRyxjX bz/D8uqSzx38tqDKLQ6gphYoxt+B5fEx/aFa4q9X8zaT501zSmtNd0fQJrGNluOb6jfQmJ4t1lSZ LZHiZezqwI8cVSDRPMF15X8lQ63pdroMHl3UXWeG7+u6rcz3c09ERvjs5bueVwoAqGag8BirJdB8 0efNf0yPU9It9BurKUsqyC9vVIeNijo6PZq6OrKQysAQeuKph9Z/NT/q3aF/0nXn/ZJirzybzbfH yvd/mrL5P8vu+n/Wed4WY6n/AKFcPaPwkNt/NCeP7wfDTp0xVC+a/NeoDXdQu738vdH1+fQCq6tr 8MbXSWbrEspVpZbVZnaKF1dhCr8QfoxVLda8y3WteZ9URPyk0jzdf2n1U3uswRW9ysguLSK4g/fX UUUrfuJEG67Up0xVObf8wfPOl63caTof5a2smo2thpz6imnSwxGC3kjk+p20pZYa+iqSKEXkq7hd sVTHQD+YXmTRLPWvKU+n6BpF4JH+oWMkUK/WPWf6w0qXGk3Dib1uSyUalR41JVQHmrUvNlvcWfl7 XfKem/mBrFvC1+FkEd1PBbvIUEr8rKzgQFkKqEHJuPQ4qttPzWvdRsb7V00HRHg8o6dFrFtNLLOr pb3MMq/6EHteaSD0HgdaJRvh6YqjdM/MfXDPouoWWg6JBcedbOXVJLtJ50kENhbozvfstty5RK6x D7e+w2xVHeT/AD7rfmi+urzy7pujSXksMLXV5IurWbTQqW9H99cabD6qr6jcQGNOR8cVZX9Z/NT/ AKt2hf8ASdef9kmKu+s/mp/1btC/6Trz/skxVg35x3X57Dyd/wA69Z20Opm6g9N9FuLme6pU8qpJ bxJ6f8xZqYqo/llJ/wA5Sn0v8XR6N9SFPVN6eN6V/wAn6hyhr/rDFXtOKuxV2KuxV2KsV/LT/lHb z/tueYP+65e4qyrFXYq7FXmn5taj+gtf8q+ZUurS1nsTf2qtqhmi09kuooy4luoUm9GX9wPTqhDf EuKq/wCRVrrKeSri+1VeEur6rqOpwIEeJRDdXLOjJHJR0R93QMK8WGKpH+TGr6Xo+m/mdqeq3UVl p9r521p7i6nYJGi1gG7HxJoPE4q9K8uebfLfmW1lutC1GHUIYH9KcwtUxvQHi6mjKaGu4xVZ5k85 +VvLMcMmvanBp4uSy26zN8chUVbggqzBR1IG3fFXnf5o6hYaj5u/Ke/0+4ju7K51p5Le5gdZI5Ea 3NGV1JBGKvTtc0DRde06TTdasodQ0+Uq0lrcIJI2KEMpKnbYiuKvFPLc8Wmfll+T/mDUTw0HR5Vl 1WUglIFuLC5t4LiT+VEmlUFu3KuKs+/KRvrNp5m1eAN+i9Y167vdJcqUElsY4YfVQEA8JZYZHU9w a98VZ5irwO8svMqflnrH5VL5e1N9cvLu+t7XUlt2bTGt77UJLpbo3lfSVFim3RjzqKccVRnmeyuE 17zBdR6Z5o0PzPNPIbK68uLPcadqCogW0mnUiWzDlFVJRKE6de+KpNBb+Z7Lzh5iufMU3m/S7++T SZJn8r6cbmynnj0m2juWEn1S7Q8J1dBxam2Kss0zyz5q1f8AMDzDqenazq/luwu9K0T0rp7K39S6 YR3JZZfrtvJxlh5D1FTiVLfEOmKsm/JewvtO/LnTdNv7SSzvbKW8guEmjkieRkvJv9IKyb/6QP31 R8PxbbYqkP5kWELeb1vb7TdftgLGOLTfMvlU3Ml1USu0tpdxQCQcASjxmSMp8TbjfFWJ3/lL8w9S vvJT6rZzzS69B+i/Nc5jVXhsrDUk1G1a69CsUcktsjxP25uR7Yqj/L3kvzBFr/nq2udLujpmj6df aX5ZEdIWuotZuJtQlW1mlVouUYMUIYghSKHuMVT/APK221O38xPDpia/F5Rh0uOKaLzIJ1kXUUkU Ri2W5AcKIQ4k9MelXjxxV6nirsVQuo6rpemQC41K8gsrdnWNZrmRIkLt9lQzlRU9hiqJR0dFdGDI wBVgagg7ggjFW8VdirsVdirsVea+SfKH6Q0vUbz9N6tZ+rrmvf6Pa3XpQrx1q8X4U4mlaVPviqf/ AOAP+/k13/pN/wCbMVd/gD/v5Nd/6Tf+bMVSXzh5fvdB0C61Ozv/ADJrFxApK2dtfRK2yk82aX01 CLT4iKnwBxVimrXev6f+Tdh52gutf1PVLvRotTnhtr1Ftrd3sxcvLKJWWT0EPZCz0298VZ3pXkuS 80uzu5PMetrJcQRyuq3uwLoGIFU6b4q8j8geQdU1Ww853OlXst3qGh+fr64g02/nP1O8Nm8LH6xR G/fOCSJKH4gu2KvWfJug+ZW82at5q12zg0mS9tLbT7fTbaf6yzJbSSyevcShI1L1m4oFHwr1O+yq zzToXmi187QebNC0+31gvpp0u4sp7j6pLFxmM6SwyskqFXLcZFoDsp3pTFXlXmL8ur7QtX/LWzv9 SnhvdR8wXs89tp8xW0s3ujLcGOxDICgT1OPKm/WgxV6p5h8q3mlaTNe2eoeZdYuY6CPT7S+iWVyx psZvSQAdTv07HFWGaPD5017yF5T1XR9S1RtZ8yhDdTyXUrWFjH6TyyTSKoEjD92EReYqzD4sVTr8 u9J1LzBp+pjU/MOqfXtI1K40ue5sr1vqs7QBG9WEOrso/ecWUs1GVhU4qyr/AAB/38mu/wDSb/zZ irzGS/19fyT1Xz0Nc1L9L2P6R9KD61J9WP1O/mtY+S157pEC1H6+HTFVDW7/AMy/4r13RdI82iyu dFdIrbRNX1KSK/1ItBHPzt3rFHFG/q+mjcZPiFWoMVWNF+Yuo+bPMGl2HnCPQrbRmsoxbaxcTzXJ a6sYbqQM8NxDG3B5SvwrTbFUcmh/mbqXm7VtB0vzh9Xj0Sw0y5urm5N1MJpr5JjIYylxFwjDW541 5Gh6nFUd5P8Ay78tfmL5V0zzP5hN1c6lMktvI08sVyB9VuJYT6Mk0LP6TujSIPBsVS3zNpn+FfMd l5M8u+Zl8r2r2Rv4ZtUujBazSPO0ZtraOH6snqArzc8iRyB4nFUJNrfmvTrbzCmt3+p2Wq2eh2eq aLYpqBmWS5upJbQxSSp8MiG7WPhw4ng+++Kt2nmi8+qeXby+1zVoYDo2p6x5vCXTl7f9FFbaSO2B 7teFlAflsKdd8VZF5AluvNV1c282p6ra+hEk3q2euwaisbMxU29z6USGG4XqUow/ytsVZt/gD/v5 Nd/6Tf8AmzFXf4A/7+TXf+k3/mzFWI/ml+R195v8qnRrPzJfiV7iGVjqU7XEARCSx9NVUlv5dxiq B/LT/nGu28lPHN/i/WriRDya1tJjY2Tk9ecCmUt/weKvZ8VdirsVdirsVee+Q/OXlDTtIv7PUNc0 +zvItc1/1ba4uoIpF5a1eMvJHYMKqQR7YqyL/lYfkD/qZtK/6Trb/mvFXf8AKw/IH/UzaV/0nW3/ ADXiqF1Xzx5DvNLvLSPzPpCyXEEkSM19b0BdCoJo/TfFWKfXvKv/ACpf/A/+K9C/S3+HP0J6/wCk Ifq/1j6j9V58q8/T5714Vp27YqyvSvPHkOz0uztJPM+kNJbwRxOy31vQlECkir9NsVeWflV+Yvl7 QrLz88U0eqalqPnnUxpGk2k0JuLv600KQvEGdV9I7kyk8QATXFXqnlPzrc6vqd7our6TJomuWMUV 01o80dxHLbTlkSaGaOnIB42VgVBB+YxVZ5p88Xuma1BoOiaLLrmsyW5vp4VmjtYYLYOY1eSaWvxS OpVFVTWhrQDFXl/nz8xfK2ueZPyyvxeRWEtnrlwuq2F3LFHcWUkKPDKlyvI8OMiFeVeJ6g0OKvXP +Vh+QP8AqZtK/wCk62/5rxV5JdxPB+VvlbybpfnDy2W05I4vMSNrLWaXUMaH9xFcQI8yo7kc/hUl RSoqcVZ15D83+V9K8vx6dqWp+VtJ+quY7Oy0bUo5LVYKAg1lW3YOXLcvhPjWpOKsh/5WH5A/6mbS v+k62/5rxV5FdWET+X9Q8hw+c/K/+CNQu55jfNfAanHaXd0bua3EQPos/KR0EpkG2/HFUb5nnh1X 9LabPr/kvzBpGoSzPYXetXaG7sY7ip9JY0WRJFhYn0qSRmlN674qx9fLWh6fruo3C3XkHzZa3MWn Q2175ivo2vALDT4LNyw+r3Sj1HgL7OeuKskt9A/KrVvNep675xvfKl/HeafpdtZ2i3kE62s1rHML pYvUEVImaRAhG5C7hcVR3k38xvIHkLyxY+WNa8y2t1PZG4+qy6cLnUIRZvcym1jMsMTqrRQ8UKE1 FB2IOKqev+cNH1+5bUtG17yzrGgX9usE+geaJWtFhmgdx68SSxPJ+8V6OjxCvEENviqQReT/AChD L5ECeddDa38uvN+nIxexIs8Bu01G3ggjLyfu4LqFFQOw+D7sVRUXlfyNPqH5gjUPOWi/o3zTbvaa IIr2BpLOO6eW5ui6FlWrXk/MAMagb06BVNvJF1oNh5mXXta8w+VbFrTSl0a1tNGvY/TmT1VlM8xk EPEr6QEcYDcQW+I1xV6D/wArD8gf9TNpX/Sdbf8ANeKu/wCVh+QP+pm0r/pOtv8AmvFUj84/nf8A lz5W0f8ASs+rQalEJUia30ye3ubgeoac/TEqkqv7WKrPLH59flJ5j4LYeZLWK4elLa9Js5Kn9kC4 EYY/6pOKs/xV2KuxV2KuxVhv5c6dp82gXskttFJI2ueYOTuisTTW7wbkjFWUfonSv+WKD/kUn9MV d+idK/5YoP8AkUn9MVd+idK/5YoP+RSf0xV36J0r/lig/wCRSf0xV36J0r/lig/5FJ/TFXzDoXnP 8oNLfz95e826y+hauvnbU9R0q6tLaeS4t/SljEMsTxQTx7PE6lG2IqCKHFWWeU/zs/IrRNQvdX1D z3ca3rl/HFBNf3On3cQS3gLGOGGGG1SONOUjM3dmNSemKrPNH51/kfq2r2+t6X5/utC1iCBrOS5t tOupkmtmbn6csNxaSISj/EjdRU9QaYqx5vNn5R6v5t/LTQPJepHWJbTWprnUp7m3uEnme5R5JJp5 J4YRI0krsxp07ACmKvpb9E6V/wAsUH/IpP6Yq79E6V/yxQf8ik/pirv0TpX/ACxQf8ik/pirv0Tp X/LFB/yKT+mKu/ROlf8ALFB/yKT+mKu/ROlf8sUH/IpP6Yq79E6V/wAsUH/IpP6Yq79E6V/yxQf8 ik/pirv0TpX/ACxQf8ik/pirv0TpX/LFB/yKT+mKu/ROlf8ALFB/yKT+mKu/ROlf8sUH/IpP6Yq7 9E6V/wAsUH/IpP6Yq79E6V/yxQf8ik/pirv0TpX/ACxQf8ik/piqRecfy38oeb9H/Q+s2KtYmVJn SD9wzGM1ALx0anjQ4qs8sflX+XPlfg2heXrKzmj2W59ISXG3/F8vOX/hsVZVirsVdirsVdirFfy0 /wCUdvP+255g/wC65e4qyrFXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq 7FXYq7FXYq7FXYq7FXYq7FWK/lp/yjt5/wBtzzB/3XL3FWVYq7FXYq7FXYq7FXYq7FXYq7FXYq7F XYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYqxX8tP+UdvP8AtueYP+65e4qyrFXY q7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FUFrGmy6j ZG2iv7nTnLBvrNmYxKKdqypKtD3+HFUi/wADaj/1N+uf8HY/9kmKoTTfyzk023e3s/NmuRwyT3F0 y+pZGst3O9xM29p+1LKzYqnGj+WLzTr0XMvmHVNRQKV+rXjWpiNe9IreJqjt8WKp7irsVdirsVdi rsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirGvOfnRfLv6OtLWwl1bXdZma30 nSoWWMytGhkld5X+GOKJBV2NfkcVSeT8ydb0/SfMU3mDyzJpuqaDpc+sR26XIubO7hgjdisV4sa8 X5JxZXjBFQQGGKrNO/N+w1Hydo3mK2sHE2o6rZaJfabJJwlsrq6uUtpVkPAkmL1OYHEc1ofhriqV Wn5v+cZtAuPNJ8lrJ5XtJLsXF1bamst4ILG4kgmlW0e3hDcfRZuPq9MVZpf+XvKHmm3tNYu4VvIJ LdJLW59WWNTBIPUVvgZBQhq74qgrX8uvy8lbnbWSSmMg1juZ3oeorSQ4qy7FXYq7FXYq7FXYq7FX Yq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYqwrz75e12bXPLnmzQbdNQ1Dy492j6V JIIPrNtfxLHKI5Wqiyo0aMvKgO4qK4q8+m8g+eL27813dp5euNHsdc8r6xYR6Zc6ub+SXVLlojCz o88tvCHBdU9NuKgHkVqoxVMvNn5XeZhrPlbVfLsSGGa/0R/OGnF0Qf7i54pVv4yzKpkjjjaJwtS6 8aAlcVUNFsfzVsPIN75Ht/KDxXN3JqkMeu3F9ZfVI49Ru55VnaOKWW4PCO4rxCVJGKvV9B8vWek+ V9O8uilzZafYw6fSVQRJFDCIfjU1B5Ku4xVV0rQNC0dZF0nTbXTlmIMy2kMcAcrWhb01WtK98VR+ KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2K uxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KvN/NHnK08teftT/TerfozS7zQLYaQtxI6wSX0dzd+ uIF+yZ+Dw8gvxEcetMVeW3fmzzHpHlOS98yaze2fm/R7DTZdL0mfVLjT2ntl0uGaadIBDdLqFw14 JUmWeMqu/JkA3VTJPOXmKbznqFpFf6mlxz12XWrm2uFu47TTFs5JLD0NL9QvDNA5iCubdPVavFpA 2KoCXzerWE1kvnGWy9bUL2HyxcPrchsVCWtkRfTatcvFLeQ28ryE27KwaR2j4lY6qq9686Xeu23k jWbvy+v1nW4tPnk00KobnOsRMZRfiDHluq9+mKvP/Kt9oV49/beUvOOt6nK2kyS39y8316O2uldP TZmvFnW2uX+MegqceNTxXipxVnH5ZXd1eflt5TvLyaS5u7nRtPmuLiZi8kkj2sbO7uxLMzMaknri rz3WfMo0SHz1aeYPM9/Hbfp7T7LT7tpobe44z2FpdyWcEwNnb2odPUX1KpwWrlufxFVnv5YTzT+S 7KWXVYdYLSXDR3dvdjUFWJriQxQG8q3rvBGVieQmrFSTirxSTzh5qi8vrPpurXl3rE+mc/PkMt5M F0u8fVLSF+NfVGnssEt0KRqoWNBJQ8alVUvvOsVxp+laevmu40zW3tJDp819rK2ljaouoTpHqMt1 I8D6qkkcSrAjxN6kah3C+pyxVnXlXQrmS+856jpeva1DY2Pq6Nppn1Ce/IuLUCS7uVjvzdQhvW/c J8GwRv5sVYZqnnAS+XvJ1lJ5ul0/zLd+WNKuo7i81VdNtLWWZAz6hePJKjX8khWn1dkkBoS3Hnyx V9FYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYqwyH/AJU1/ic+h/h3 /FP1p68PqP6Q+uczz6fvvW51r+1XFWZ4qwzXP+VNf4gk/Tv+Hf8AEXKL1fr31H67z4L6XL1f3teH HjXtSmKszxVhmuf8qa/xBJ+nf8O/4i5Rer9e+o/XefBfS5er+9rw48a9qUxVmeKuxV2KuxV//9k= xmp.did:E76B3FE0E9BEE111B24EAA1EEECF6682 xmp.iid:E76B3FE0E9BEE111B24EAA1EEECF6682 xmp.iid:044011138868E111B3A9A839B0ED0BD2 xmp.did:044011138868E111B3A9A839B0ED0BD2 uuid:3CBF069AE3E8DE119DF59D92DA9C643C converted from application/postscript to application/vnd.adobe.illustrator saved xmp.iid:044011138868E111B3A9A839B0ED0BD2 2012-03-07T11:02:24-08:00 Adobe Illustrator CS5 / converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator saved xmp.iid:E76B3FE0E9BEE111B24EAA1EEECF6682 2012-06-25T10:19:09-07:00 Adobe Illustrator CS5 / converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator uuid:3CBF069AE3E8DE119DF59D92DA9C643C Basic RGB 6.833333 1.379829 Inches 1 False False Helvetica Helvetica Medium Type 1 003.001 False HV______.PFB; HV______.PFM Black Default Swatch Group 0 K=100 GRAY PROCESS 255 K=90 GRAY PROCESS 229 K=80 GRAY PROCESS 203 K=70 GRAY PROCESS 178 K=60 GRAY PROCESS 152 K=50 GRAY PROCESS 127 K=40 GRAY PROCESS 101 K=30 GRAY PROCESS 76 K=20 GRAY PROCESS 50 K=10 GRAY PROCESS 25 White RGB PROCESS 255 255 255 K=5 GRAY PROCESS 12 endstream endobj 379 0 obj << /Annots [380 0 R 385 0 R] /Contents [386 0 R 383 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 1418 0 R /Resources 381 0 R /Rotate 0 /Type /Page >> endobj 380 0 obj << /A << /S /URI /URI (http://www.xilinx.com) >> /Border [0 0 0] /Rect [275.58 26.4 336.42 37.56] /Subtype /Link /Type /Annot >> endobj 381 0 obj << /ColorSpace << /Cs8 1435 0 R >> /ExtGState << /GS1 1436 0 R /GS2 803 0 R >> /Font << /F1 1437 0 R /F10 804 0 R /F3 769 0 R /F8 800 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC35 792 0 R /MC36 382 0 R >> /XObject << /Iabc1974 384 0 R /Im1 813 0 R >> >> endobj 382 0 obj << /Metadata 387 0 R >> endobj 383 0 obj << /Length 18 >> stream q /Iabc1974 Do Q endstream endobj 384 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 108 14] /Resources << /ExtGState << /Gabc1975 780 0 R /Gabc1976 782 0 R >> /Font << /Fabc1977 791 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫@>)W^.P$MZ\1h!sw4_PE1qdbE0EH(.X*w197JIx5s剢xy"q-lb@r2dv"& g5kDN 9]%8 sqE fѯG$DS3Ră;6+IriK[Zߖj?'=ʡ|~72ْԑJ_%+VK2ufg[XL귆G>x|1$ɾ d_ EA2T>eN9 &K.AS0'rqHB>W!KQN>% tweBC (cμsH/I NЋm߽?F endstream endobj 385 0 obj << /A << /S /URI /Type /Action /URI (http://www.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG932&Title=Kintex-7%20FPGA%20KC7\ 24%20GTX%20Transceiver%20Characterization%20Board&releaseVersion=2.2&docPage=24) >> /Border [0 0 0] /Rect [108 14 194 36] /Subtype /Link /Type /Annot >> endobj 386 0 obj << /Filter /FlateDecode /Length 2721 >> stream xYko\@?ߏ~kh#PkEe+{ΐw޵ֲ @ %9<3'櫅UG?f.EuLJ[gWZn/.!&uVM.j{AkQeI*k2V\Ƶɯ'墂QUEYgW ̓68_,]Xmm&]ap@-ϖ߿_%Rqj/g.9Ttc1N>[4Oj˳UN.+mapnV*%z?r=_n:~>߮l`!hON΍ܜlyiJ}f5beM\lwh^sԌֲ7!"&S)4 n[*wov @oТ_~o7/1j[Rn>cd^*UhB\=ȧ[mެ7<?.7uy :,WWrSQŬgtZ'"4<32 q-cupP5hɶ{Ƀ۫[ub~tdrlLA6жMOмY<ݼ1ۜ^^^mnka'7Eoh'8r+ ܯfW2qpNpWG^e@XdW<=L !f>2S1>yw0R An#G#"G8̜27f~@ƨV0jkثkrJEjm3Žq+)7I@PYMX~k8QM;]|mS\r*_A^gf'ÑYn<.fxS C @14A 6ۦesSr&ҩwn0,Q @DTAߘA?ʹmG,7AQ~iALW&qSL”wO1sR̓HAb4pN+qVE ^M߶%Fz(%UTm۾g;2Ve9JQ (2QoC}Z>;&~Y,9h}P,'T/r03>WvJ֪ʸ+o$jX1E%' ΐI ]=.\)0+E:zV=8Q?|M>HL$\D#SB&:4~`%[fF!=b&rWߔy^}3PZ M`Riп|$߭pxnm`047tߣ䲗0# OBe`>ѓ Yb=A "O]DyJ+&+Kx/T5B70eiͬ63f8̍F3-1y@O Ml'iu;}l\IIyܛRg.ˬQ?L~gJ(x^ʦq@^SيЩ4|;5lcslO.=Y44ji"t{*0^fiXqڶ:FC㇃m{m'qGSsS3SKO_G݉f;9T *eSDu_,07Sl5b]7|FאŎlLX湏RpMU|S7o؄^s'yvHԃvxaжQ;OvY9yܟMyߩ4 endstream endobj 387 0 obj << /Length 46792 /Subtype /XML /Type /Metadata >> stream application/postscript Basic RGB Adobe Illustrator CS5 2012-06-23T14:04:39-07:00 2012-06-23T14:04:39-07:00 2012-06-23T14:04:39-07:00 256 184 JPEG /9j/4AAQSkZJRgABAgEASABIAAD/7QAsUGhvdG9zaG9wIDMuMAA4QklNA+0AAAAAABAASAAAAAEA AQBIAAAAAQAB/+4ADkFkb2JlAGTAAAAAAf/bAIQABgQEBAUEBgUFBgkGBQYJCwgGBggLDAoKCwoK DBAMDAwMDAwQDA4PEA8ODBMTFBQTExwbGxscHx8fHx8fHx8fHwEHBwcNDA0YEBAYGhURFRofHx8f Hx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8f/8AAEQgAuAEAAwER AAIRAQMRAf/EAaIAAAAHAQEBAQEAAAAAAAAAAAQFAwIGAQAHCAkKCwEAAgIDAQEBAQEAAAAAAAAA AQACAwQFBgcICQoLEAACAQMDAgQCBgcDBAIGAnMBAgMRBAAFIRIxQVEGE2EicYEUMpGhBxWxQiPB UtHhMxZi8CRygvElQzRTkqKyY3PCNUQnk6OzNhdUZHTD0uIIJoMJChgZhJRFRqS0VtNVKBry4/PE 1OT0ZXWFlaW1xdXl9WZ2hpamtsbW5vY3R1dnd4eXp7fH1+f3OEhYaHiImKi4yNjo+Ck5SVlpeYmZ qbnJ2en5KjpKWmp6ipqqusra6voRAAICAQIDBQUEBQYECAMDbQEAAhEDBCESMUEFURNhIgZxgZEy obHwFMHR4SNCFVJicvEzJDRDghaSUyWiY7LCB3PSNeJEgxdUkwgJChgZJjZFGidkdFU38qOzwygp 0+PzhJSktMTU5PRldYWVpbXF1eX1RlZmdoaWprbG1ub2R1dnd4eXp7fH1+f3OEhYaHiImKi4yNjo +DlJWWl5iZmpucnZ6fkqOkpaanqKmqq6ytrq+v/aAAwDAQACEQMRAD8Am35zeY9UsvzAsbBPMF7o 2mtYRyzLZuwNWlmBkCBl5H4FWnvlcjRYcHHOrrZ535Y81eddY8zQaZ/i7Uls557mJJRPKZeNvEZQ xUtQcth1yfC4kblLhEupHy6vRk0rXCoI856zvWn71v65H8df1uR+Vl/OKjd6X5mWzle2856q1zFG XCyTMiNQePLbfE/jn+tEtNIDaReXXX5jfmK+pxabpWr6ld3Mgc8WvxH0BI4l+I2pU74RVWf0tWnh PIL4ihLH81fP7xTfXNb1FJYyUUx3bsnqUai1A3oVHTxyYgDyadVKeKtyWcwX/nwaBa6zdeZNZhtr lYyH5TEcpFrQAVYjwNKHtlEuKyAPtQJZeES3TSW0/MFIravmTV0luVJRXlavWg+GoYe4O+QlkIr0 /aykcornuk9vc/m6+rHTH1DV2lUK7SJc7cXTkpALUbcHocyuEVf60Vm4q3Q9h5080+s31vXdSWLg zAPevyDLwJqqKzcQHoXI479chKo8z9/623Djyz7/AJtah5182W11LZS6vq1vdRBpCGvGKsh+xxYc gaYOYsfpa8s5QlwmwVPQPNPn3Xr65gste1KOG3he5MjXTMTGjBKgfCasxAAORyyEBv8Ap/WjTjLm lwxNe/8AtCATzr5/Pm3/AA3/AIg1NpzOtsJVuWP7xiF2AO4qfHpktuDivb4sRHP4vh8Qv3f8ed5q 88eevL1/DaSeYtRuDJy5SLdOAOLlTQHr0rgwkZASD97LVRz4ZCJlz8v+PJ1qGp/mHZHTVk8zXqvq ckCIsl4ycFuAxBZmIHw8CPc5DFkjPkfvb8um1EKuQ3Nfj1JFd+fPO1p5ml0a5803Yit5vSuLyO8M iiOo/eJVkD/Ca8eVe3XMgY3DlLMJ8JmPl/x5N182XvrPG/5i3ojClhKDMRUMilSPV7c2b4a1Vdq1 pj4bZWT/AFQfL/jycaNP5l1COyY+db1J50kluLP64C8apw48mSR6FvUB+wQOhIbbMfU5BihxFyNN psuWQHH+PmlOseYfONh5osNFXzRfyi9kt4y6Xcj8DM3FgPsciOo+EDela4NPlGXHxhdRp8uPLwGf Ok/1K2882+uQaRbeZtSmmuInlR3uJowTGV+FePqVNG9vn2yUsgA3bPymUyoT6Wwp/O/n1PNTaEdd v/gkMUkpu5xwpsWanI0B/wAmv05ZtwcXRxeDN4nh8W6p5283fmJ5Xv4bK51u+M0sfq7XlwQFJoPt CPw8McVTFrqceXEaMuab6zqf5gaVocGs3PmC+ayuqJC8d9cE+oQSV+NU+zTf8K5XDKJGg25sGXGA TK7/AFWgLbz75nt9TsHufMd9HbC4Vp/VupXQxo45KQpPUeOWw3aYZZcQsp7+Yn5rR6rPpMXkrzHe XlZpVu1tWubFgsiRCBX9ZU5Eskhr0XvSoxjRLmamRAFJTp3m/wAwXVy8P+INRqscrUOpuashAWhU j3wC3EhkJPM/NA6h5w80/o62aw8zapJfSB/Wja8kA5KV+FRz6cSTWvYg4i+Kq2cnIAMYkJeru/Hc oSecvPK3EkA1/UuaFhQ38nVX49fUpgDhnLO6tC3/AOYHn/T45Q+tX7rcRiOOT6/IxRxKH5rxkb9l OP05bGNr4sxz+9DwefvPRtllk8yal8S8q/XZhQcuP+/MiedBj48+9cv5iefLW8tbo69qM1sGWVIn u7orMiPVgd9lNOJyQi2QnkJ7090Pzx5luZNLkl8w6g1z9dghmtlvJwrKZApDK7kmoHxBqVrt3yiU iCRTnQiDCzL1dye/85GaUt758tZPrT2zRaXGF9MAklppgK1dTTlStAdqntkjPhZQ0R1GQRB4XkVt Mth6d1Y6mLXVIOTJP8SSCTif2qGvLp4GpyMMvELZ9o9jz0GfglIE1d/P9SCPnb8wrWWYJ5hu7mOE t6UguHYFZhx/aruAdv5T03yYiTTiz1QiaTSbWvzGpGdenvhpsyi2VbiYCJ/TU8Q1AvJu7E9e+PAT 1Y5s0qqmPeYF1u4ug9tZE+pxEvqtX4XNVoPgPUe+S4aHex0uQxG6ppjxfo29lvJCurmWNoolDgHg W5EkgivxeOOMz4tx6XE12QTLLLb89/PccUWiw6VbNBYCGKN44pmNLZRxLcZGWlYxyoPlTF2ePKOA d9I+P82fPeqTTpLYWsFzpiXIEIWWMNJyVSGDyV2C9vHKpyrdsnkAISVvz9832PO3utOtn5qm7CYl ChJDoPVArzLDf6KZdhyjnza8suPYGmtA/Nu58vRSz3GnW819cw8RclC0qc1UcQwcfDQV77/jXONi wzw5hxEHlzRWj+ZrnUku56MsFxGVQvNylADVKlhwBG/dN+uPIAdbcDU5eKZI5JE+s+ZdORW0G7lt JZrdo7l4GKs0PqMWU03K7AsMllxiVg/jZq0WY452P5v6VfUdQEKaRrGlanLJ5tuFeXUXAWkVwsgE PphEBVuI999674wj6eHoHKyyAmMgNylzSPVdX8yX927a5O8t5GzhpJgA/ItVi5ADFq9a75PDjEAQ OrRrM5ySBPRH3vmHzZqNzax6/PLd2UgH1VJykahUaSOMK7huMSPyHHYdenXIY8MYkkORl1UpCIly 5p95fsPLkN1d3N5cyJNHPIsSWKwTWzQEDdfUZd9z22275ZIkbLi04mTK+qbtb6WtwbW6a4OppOip HBDAVJJ+HZW5VKv9mnXr0wGRtkNP6b6pLqVlpF1d21lazNcrcEtKFCnjGWJkJeFlWgYJ1DUr2xkW OGAIBF3f45L4rXTbaJJLazUPCecC+sxowPOlGLADkK9MA7nKlp4k2btU1C880WFtBc6HdzW2pNPJ GZ4F9BvTnRHQIyBEX+6cMVINOux2iYRIrk1HJOMru+Y3SfS7+VmN3cXcr62f3t3cMpLoUL16qUYN 8PKvKuPDtw1sgSgTx367XRXn6T8x8/OeqXt1YCF/q907lp2pHyiTkUmoOW1OPttgjHgHpRklHLL1 mhSDbXfNl8RBqF/cXGmRrzitXYCFGqByRPsitd9t8icUYmw1z1EpxAP42LNLG5uBfWSW6cVklYTy LxaQRhgzBeIPULTocli6uNE1IKGua211KxhleOOTiltHI5RDEsgJUPRkorL+w60365OEaLdqMgMQ QUk0K6mh80R2byGS3lvnto0aR2RI5JSCYd1G/jTpSuR4jblzxR4Isk0MWN7dXduZvR/RUjiCNZWc sW9RfiR3Za9/hC9TkuMtcsERG7Sa3vV1W4SUy2w9Jz6kZk9GV2eparKDXc9DU5I7OOMe8T30fmxS G9u2iCTStMA9eUsjO4LClFVifhHDr45DGb5t+tgIkEMqSOGxQGdD6KREbsoPxmqbx/F3GIBu3BEa 5ovV9d0HTbKzW4VIpPrMMys1zc/ZWOReR4o9Pj+Z8FIxkDbsdLICx1WeS9a0ibXrfhSWW41OH0ZE kl3BnWhpTgR9OJ+ksMhHiMw/PdY9H/Me9uYhqWsR6jZwStHGWukt5EmlV4IwBSNKKG4E7EnKZ77O y0uqjiyCR6fB5bbappsKPLqen6tFO1VjVZI4l4MtN0lglK9T3yGKFCnJ7f7VxavP4kLqq6efv71r yWsgnll5xLIitEJQC5ZPhPLgqj7QPYZlxFAPK5jcyejNdb07yjaAy6brE00glWO3gVh0eqlgyXMh 6eA7++VRyFll0OSX93mB8qQ1608EqGOa7tnmldkrcXIPOhJIq9eRWNd6fs1OS4q2a9TpNVGBmJ7R 3+89yUk2tQvpymWshllaVyG50rvyDb03qcPGXXZvEjhhk4jcrUtCttOGuQ2+oM0cbtdO0sbjkFED GMK/JW3kQD7fTxyU9gSHPxyN8N1z3ZhI9rp2ja9rNg632naT6SSM5AnlWd/TJB/e+p8Rr8T9B77Y /Bxbl2MNHKt8kj8kl1Tyj9f8uahrt1pxQQ2kE1pdFWjUpNMiqVXYEHm1Cw7fdPCBE0HE8GQBIJ2s G9uR2rYc+fcjvy+0vy9Ot09+9l9XiUui3cNvcXLhVHAUuEYcKbfBxNTuelHJYp2mhEZRPUpfHB5f iv7v6ryi/wBHJWzjIVElBVW+0pHbp1wR3iD5uHrIxjMjlsg/LnnC18syS3VxYteJdWjWqMmzRO8p YOGoegU7d8hq8ZnYBY9mZ4Y8ly5cP6UG3mex/wAdDzK0JuLJZ/rTwSKEZ6DmUAAoPi2HhlnAfC4b 3pfGh+Z4v4bW+dfNdr5nvra7to2t440dDHNSqK0jOq1UDkBy8PowaSJhEiRvdl2lmhkmDHuTvzD+ Ymj+Ybey017X0LexkgQ8uTrIkKupkAoOJfkKrlWnxGEySdvxu5Ot1WPJjiB3oS31XyrBYzLGxiuR O4iVZnhQpQcJKJC3cHbZvfMw0TvTgxzRAqJI380nm1qaPULa4gu+SqAZirMULLIabSEcjw49sJ4f Jr8c8Q9Rr4ozXdf0qPXKaVZxTWFsYvq1xLLIbghFUtVm9OPd/CEdNvEgEEb826eYQnQ3iKVf0npK 1H16JiO4En8VyNub+cx9/wBh/UnOifmPa+Xrq31JkF0rwfHaxz8GLBjFwdlBPHjR6Ffb3ynNj4o7 HdGDWRE9+R/WxyPzNFP5zGv+qLWGSb6ztI3KP4dkBpzJUig2+nvkqvFw3vTjSygajj/hu1/5geer fzZqtvfxQR2n1dDCYRIz14kNzq4H2ix7/wBSNLHgBBIZdo5RkIMb/HwTnzP+aFtrWjQ+XkiV47Vk kF+0rMzhAVCcZAqgLWg2+7KYYTGRN3f63I1OpjPHEAbj9R8kLPeWTtBB9Zjht52dLm5ISQRxFhya kQZun9mZOOHUup+qQHegh+jb1uNpeekLUArp13IzujMSSvqKkUfxkc/h7MN61y4Stvz6cQNApLbP fzeYyxH1aE3JeK4WUq4eoJ9Msybgt8NTlVUW+WfigByTjV0tIL5LGxY3U9xIIXMxHqo61PFGB4nc UJ3GT4jtbjjGJQkRuRSL8s+WbebWHkXUPqNpJI7SNcnhD8KlkLN6q7kUAyjUZDjgZVZ7g5Wlgcs4 xJr9iS/4Xe11X6rLqNpMyWZuTJEzypXlx9LlD6o59/ioPHIaXP4kbojdyu0MNSEbBNMmuPNVkYIL RrSOZbYKi3JbjzAABL8FR2NK7nvmU4pxz7krNxFqWp/pK/S0doyrRWLx/wCjMI6KF4k7K1DX6cJa 4xlxf0u5E+WZtAsvMXlywiukWd7m3Z1iiUKZfrYCQ7KGqyEOZORX9nr0rnybYYhIcRPqZj5+4T+c NcMsM85TUbuOEQPEgV/V+Fj6rx1YUPHj75jCQBNtfFwyLD/MlpcW11Nq4nleT0lJsblgYgCN1ZU7 r1+FuvcjBFqjvsr67578l3mgjS7CzjS7My8ZWjCuP2WWV1I5ior4+/TM/IIgCjbb9UZAx4a936En 1eHUrOS8ubqF2s4p/TnhjJZkYycgtDxQN+6PTwzGBcTT6+HEI8PCYmj8inOufmDe+Z9Q06DX9Mu4 rKylcyusIgeJXbizqeUlWVo22KnoRSuGUna58mPgMZ2Iy2LIbm98gsyzWazx3R9R7BbZ5Sa1dojI HteoPAHcbfhCzs6XJg0c7MJVKPIDqRZHMPPruWNLmO6ZXljT1IuEbrG4cKQN2RxQFwem+4265kkb ELjkYz4h5oa81rX3s5NPVJrbRrtVWaASojSFPijZm477jl9n5ZXwkOy03HGBPOyT82RjX9Z1HQpd MNjP+6ggjklmuIC1OQ9JuBgjkavLs/epNMEYcMxfVMjky4pEbiIF/PbZjnmfShc33GQCKGOIkOv2 vgIHEBSF25DtlWTLwxB5uZ2JohqMsoE8IjCUu/km+kfVtP0WKUiNPXRmJjblIS1KKwdmPf8Ajlsg SadPmIG6BtklW6hMcKSyLburpMtVVSzPX4gRWlKfPLJgEG+9jps5xmx3Iay8j2Op3d/LNxhdZQSq pM6D4iSo9JH2+Gm5GDh2cqOpMrRY/KrTSsi/WaF6FG9C7+HblTeLvjTPxj+AV6/lbpSyxO9wOAUI 0Zguxybh9r+76nr/AJ0xpfGP4BX2Plm18vanGlnFHeTG3ZpJZY2C8WYNusydV9OgNKb+OCUARuxG sMJd6VXXkS1it5ZpEJdJHaVUcBOIAoBU/wAzDAKJ27muGpJlvyRen+Q7RbX61LCyeqZTFRkI4ALT Yk9K5GY3TPUSBRUvkvTasCrkBXG5jP2QCP15AMPzUkLceT9PAYhGqDIP2OlQMIX8zJa3la0UEUY8 eQFeB2WgGNJ/MyU38nadViY22Lj9ntTGkfmZMg8teRtGM7TPAHZJwgDhT8PNARSh8csgNm7HkMuf eoSuHvIU1RzdKbVFjSzNWK7Moao60qT75b0cSUhfq39yaaZ/hePVJZ9K0me0gZGltWugHAIj4I5o zGhlp0J67U7RANOVIxJ2BqkbZ+Z9I/Sdv+k4ZZp5rx3vJUjR/hVEjMEali7AkLtIvYe4ysCdnlXT 9NtpnEUSOf6ujHvM+o6QvmSfWoFuUghkY2qejG4q0YXjJG3AclJoeJ+HqK7ZYQa82uE48ZF1E/o5 Mh0/WvMNxfafBZW91prfVvhVkDW8iszPyjjhh+B/iY/tbZjSMdyS5fqAjGJ57pf5ls3srm3trwyt frayPNNKTRgQQAOUcLbFRvvXfJYJ8UduTXnifEiTdnvYybmRY13BqKfhTvlrmJfqd3Jb2kUi8l+E cmQcjTm49qCtMmTs4Y/vj7ky8j6np36e8sWk7StMt7bGJeKUEzXakDqW9MxtyLV+18PHvlcjsWYx jn5vVvzM0qTTPN2qyGzbVI765uJg8DKnp+rKS0TCVoiTsK0qvvlFmJNFj+Xndjq8l12+s31Oa6g0 a/tdQjqpugoZVJXi1SJGj3XbGMT3tf5eceaeej+VEtk31dFW+SSKNL5ZJ3LA7MGVm9P7PxCg+imX guTLHExUtX0T8uNVs/T8vQRQ6hFzkkC3Ezq0aU7SNJvv2/2zFhqIgR9LB9M0+zvZJIxbpFQEKXaQ fZ3JBNB9+SADjajKOH0/UnVz5e0WCSGa0tEuQrNWF5mAegHEAo/KtfDICyK6uPh1XDMGQ4o9QyfR 9PmNqRdWc6qFkI9GN+wj+NiUkNOu+TlEEtUcfeGO33l6e6uf0pbW80q+oxTlFyU82HHYrvX1NtsQ DxUWQyyEPSqJYQJax3V3bvVZGRHFNmC9OJYHx7ZOV3s444q36pleWH6UZHWVFsztJI0qRgepQAyc DyTp/ZmPLHs7Xs7MMeSzdVWxrn7lS90qSIxQ2k0F3wKJb3ME6yoxCgs0RCo7MKUPv9GWx5W4eogR KtkWmnCwgKMjpyD1eREBYhRX7RP3ZVKRkWjh4VeK8ktXlMEnAyBi/wAEJqUUcetfHESIZxmRyXNr l+taT93H93D0WlO3vkuIr40u9s67qG5E/QuP7uHoAPb3x4iy8aXetubtp5JZJmDuEdFbhEDwHGg2 pkCSWMpE81OR4z62/wDvz9mPwX3wBgVzvGA4B/35+xH4D3wBJakkj5Nv2k/Yj8F98QqHlKtVVqzF pKAIlTuvgcmGLU0MiFgyMpPMgGNAaEihwgJoqPIyNJxR6KZPi9JQuw3ofbicn4Zq2Nsp8qvG8U3B xQXQrsg6PHUbGmIFBzdMfvSGa1tNMurd0S24TwwpKjtLIecgSRjR4/h6+G1KVyQle/cxlj4KutwE TomqXt1o8dvFM80SylrpDGwXZZAnqMWVNuR4kJ4DqMhW7mTIAIHLZC+Z9O0ywuIZWjiR7xOcqu3V zXkVo2257GmAyIJZY8Ucn1JdrFlpEMrS29xwhRXWKHaYmSOvIUY0Wvw0rlgLgnHG+dBPvLvmO80q 9t9V1H1ryysuf+j2kEKyFJIVReBHphlU03Y+O/bMPW4DkxSjE7nv97sNHqRDJGUuUe73IbzX5m03 zZqz3tvpmow8bZLSFpo0QJJ6jMztwkYceD061r2yjQabJhhw7H1b+6m/X54Zp8Ub2jt77S+38seX bzU50OqJpNhGpEdxqMpiWRw3GkZMig/D8VCajwzJ1Oc442ImXua8ETknXGAK6pbqOh6a9zf2NnqP 1uGyh9Vbu09OaKQoA5Cu7v8AD8RrQ/ayeLIckAa4b6FpmDizS34tuY+CbeU9Jt4NX8rARoxjuLKQ 8ZSx5yXCnkVpsepI7ZKXIsOO5Cntv5myaHaa5cQ6tqNrp88rvNDHdzJC7xtIwDqsjKSpIIqNshKN uyjMU+e9bh8tP5ou3gvIppGE3ozW63E3I+k1ArxTBCCaA0Wm++MAWnLKPeq6H5dtbJ45tctptPlm PqRykGGIA8gvItUqx4GniMsJ7nHhctqTDTfL2j2Ukv6OKXGoOkjK8TsTFF15EMez0APjjA96dRAg JlpEmlabrs622kpK05YJaXRMZVZR8Dj4XDBSQR0oenjkyCRzcaMgJckZotr5ejs1tdXFtFf2bvHO Hk4MSGJWSjQuakdyd8jKRHJsxabiG4CFvdKu77ULq6sgqaZ6T+hSQusgcASSKxozLWpPhTwycZNG XDLiPQO0vTIYpDcrELvRYpAbkOreqFUlAwVgaKrAs/sDiZfNEYcRuI9KeMPLNrD6unT2riirHElw wkdm/ZARUHKqjvv9AyvjNuZ+W4RslNho9xpik3rA/W7m3YFiqkyCcfDT4uRBFadhvsNzZYLhjGY8 +pH3o62s7m4GhR2sLyMJr0v6K8ioDyDkeHTdupxHVQCRH3lM/N+j61PDALfTp5ADNtHAWI5AU2A2 6bZSQnPjkRsGNSeXPMhYn9E3nR/+PV+6D/JxAcbwp9x+SI8veVL/AFTzRp+h3yS6eb+V15ywcXCU qSqtxrsu3viAyx4SZAHZQNx5OXTpp1iurq9aeK1sNPsriOeW6urq2My28am0jcyxO0MU6qjem796 DlLhc38nDvLMvKHkzyf5j8teYfMUVxeNp+kfWJV+pvbXLzQx8zT447dY5ykPMxFjxDL8XxYOEJ/K R7ygde8veS7e4vtL0651NdZgs7zUAt3Bai3aK0u5LOQc43LBnaAslAfhpWhNA8LXk0sREkFLtL8v 2c/lnVdevZlSCwmjt+LultEpmDMZJ5zHcemgCcVpGeTkLtWuCItrwacTslCXcvk/6/Hbww3Qimjd JLy5ubeGC1unuZIY7e+dLeb6sxt7eS4Jboqmgbcg8Lf+Th5s4l/LPydDoWmarcyatx1PSn1ox26W jiKJBbtMhMhhYsouQw+HdVb9qik0v5KHmxDzz5W0zSNA0fXNNa5iGsNOLK21Bbc3IaKRY+SrbPcQ SJIDyjYMdqeOSiiOmhGQSnTNO8/yTxLd6FcSQMJIBEYJU+CO2BTnIzxhVNePQVIoN9szsnaMjhGE RAiPe0Q7NiMnikkyO1bcq+DJNH8uarZXN5CNMuYoWug6D0iQob0mIDAyhlU1API5hXs244EHl1Yh d6JfWbPdeYNGmtbZbdUSSOJ40L8lC83Bpy4198k4xiR9Q2pQ1O3sVn0lrW3lJ1F1Dxj1pIhyEQPx OXX7Tt+1XucrFhzIRhON0rebI4Y9LFwscySCER28klB6SLIKoOBK/CGANN/HBPk48xsxaw8zXlg8 VvJBFP6LSlucaSPIZIyoqzh6qOq7bdRvlXD5LCVbVbK9F8z2unwrElmjSXK2xeIzhiKW49WXlPFL 9qRW+EbAnau2QMPNzsGWMdjG7Ub/AMxJq0EkUUaxCP0gbWkgLIskjAqySq5AHFSWH3HczqtmZgJ7 cksXy3ruozwNa3ECW87cY+U0gIBmeBQRwbflHT7R8cBkO5lDTitwkVzLqz20N3cQTRQ3DgJfIjJG 4FVogAWM/YP2fA++PC6uQlzLMPJ3lmH9K6NqVveXs1wuq2Y9F7aZIjHyildmlI4ArVhSu/XvhIFO Vix1R8x0e6fm15X8sa9+ZHp6tDDcX8el2RsIZZWjZk9e89bgqEFv2Kmhpt0ycnOxgE0Xin5gaFo3 lnzNb/o6xEETWaO8EZYjlLUOwaTkfboMnHlbhauQjKgh/M+pX2o6HEJeU8wNvMHKqpSIiXiH4hV2 9ULUDf2xqjbPBmH8RQPlS/kstQluCjPK9v6cYR46li68galhutcjIW2ZMkTQBu+6k8nmYXKazb2z fV0UMslwangZhF8IQMxEZG1X+XTDDYUS4k7iRKuTHPMP12/164uY0CR3fBo6lY+fwAGis1R8QI64 8nLxZYmIsgMs0nzFbW2hxaU5fl9XWOVo2QjlzZuBG4IYU6E+G1MgYm7DGWQSka3rurzXi+bSLbVd OvrA2bXEUqW0kjx83PxpuBx/acfKuWEWA4+LIMcvUKBYhp1tqEUlrJPAUSGaKQglBRa8jSrCvXrT ImQ5Of4kQLsM7u9dGqPbiQyO1m1qJAZUKc5LiuyqGXruaUrhjAjrs6+eQSFd1dya6Nf6K3lk6Jqb ei2qySG2uHtEvYA1rNKxSe3MkHqowk5D49nRCa0yVbssOQRiAetrPMVj5Sa3jhvvOV9E6LdR2zPp wkSX1mlDNdB7kmdhDf3UbFWTlzU7cGDtN0s8RzLIo/zP8o/oa10KPXLnTbTT7e5gt7/T2nhaQ3cE iU+qJJGkCW0sitBSaRlVAoK1ONMfzMO9I9c1mfXYWGga7da3eW2r3mrJd3JaK5sba7Cejb26mWdj DA0e5UrSv2AN8iWvIRkFxPJJJvzN/NiO4lt01i6cxM61EgrRdqkHfamNhp48nej7Pzd531jR7q/u LmW61vS3E+lXDenJJErFVmWIkEqSrBjT+XBfm34zOUJb+ob/AA6/ffwSDV/P35g6vp722p389zp8 rFWjeX92zChANCAadd8LizlMjc7Nwa95m8v6qw8vzTWU0yKrCKQBnLgEKQKct+m2IAWpRPpLKNJ/ ML8yn0bVr281O7EkKiKzrJxHqnkzn/YLGQfngvfm5WMz4JSJ8h7+f497F7L8wfzFtPrdxp949sJ5 TNfTQemnOWQ/blZAOTE13bc5PZxPFyd6Y+XfN+ty+ZLTzj5snkvdO0J+ck8rLIwJB4RQdSZSTVVF B+0aAE42OTdp+KUuKR2DaRfl/PpMlkfOk9vYX0kD6gLPSo7aZ7WK2Nq0UZF06JNOk0zXEjRvzd+X AbhmnKOaI6sl8ufmD5S8uaLq2jpr0l3Nr5uGvtatrafTpoJZubLdRxwvc+tcF5jzf1otlQdsaR+Y h3ofzV+YWh/W/MOq2HmnVNTt9U097Kz8u3Af6rbTvJHKbsGW4kUshRuIWLblxFAMICDlEhQ5l5w7 6leDRxcTRw2luyVcCoMKhE5FqqoFIPo6nrkZDqGnHkMY8JQfmLzI+rW17DyTjHuioycFBMaeFd/S Uklqe2RlyXPGq3u/2I/zlH5fsfLnlDU7T0JtYkS4OrLHLVi8IiWH1Iw5EdFNQAor3xMqC5OEAHqx htV1TWdXWaEcJ1RuMagElFLSFEAXk32jStT4nbKeLqiM5zkK5qVwdFZbZruFa3UXOP1ZiAo5um/2 TsUPfLQbcqETL1E7p3D5K1eKwedERI7RPUMayAg8YxOAaSV39Sgp2ynxI94XwcwFsbtg9/d29rDz +pQEFBSsiRlqvuvXd9ssB6OHH1GnsvlyW4hXTNPt5FA/TVuru11KZeMKwhg1u/7sl0U1Ndq0HTIb bubEEUB3vTvzR8weTtE/MRp/MFzDaXEml2a2M0kTyPxFxd+qEZFZlr8FfHLiLbISAk8H/NXzH5e1 3Wo59HufrkUcEULzqGRFZK8hwdQ1d+tcsjycHW7yBDBNXvbgtwtZZHj4hAC7LRU+yPiJ2A6DBvTX glUt010uO9jtGjji5NuGmaZVda8WIDArQdMSehY5Z+rbZMDqGqLay2wtWWFkMap67lUAb1G2LGtW NTXI2GM80jdpHdR6xNq61hZLeMg8EkFKIPi4lqtQ1rvjxjvboziIct2QaZZw3zyW0xt9ONnDJOpk meMytSgWsf2mFPh8MkS1YDLcR9NB2t32pXUgW7iMnoxsizPO81TxDCQFm77Md8bAa55CZC+iB8rW L3DSzajqjpBHIQImqyycV5AM0fIpy6cqHEOYay7BmfoahGbZToo0tHmthJW5W45D1wVYEqPcFdqf TvGHLcsMwAl6Y0Nuq88Xj0Jahgkt+0oWhKrV/tUr198sHMtfSPvKV+b3F5cW1rGVaSN5RIi0IiX4 akgEkHrsf14bAYZzdBBRaZDFE6+k8gIISQopo6oGPUGta9PDKvFawB3LoBceXNQs9USKYqDN+6qY iAV9M0f4mWof7XXwNd8fqBBb8GU4ZxmOcTf4u/tZPonl/TvMOpfWfSa2a+Ut6bcrlnmaPYAqqMTI 9KluhJPtmqOrlx+HE1W3fb0stHjyA5pRFz9RA2AvnX49yhYx3GlTxafDDW2nlN3JycjaMoOIVdhR grA+I3qNsfzonjuQ3BH6x9yNPpZ6fNWI1GUZX12I4ZCv85F3HkKybRFuIyxcymKTihHA8eSHmfhJ ajfCPD3yEdfkEeO735V+lZ9l4ZegCtud/oQdlokeu6099qLfVYpZRFIV5S+mI2EbP15tTjXY1y3P rqIjHblv7/Jo03Zolc5789vdtz+CaeY7H9BW9xplnb1WcfV5ZnLxGRbhqxS+md1+GWvEnvv2xx6m sh4uYjz763btThl+Xjjgax8d8P8ASnwxvzqvvQWn+SNKGj3cs0hNzAsRjg9Nj6oZwrsXHwR8Kj7Q PWmVfyhOVkGq6V+lI7JxRAiRd9b/AEMd8z6lqE06aTE72+nwK31SzDM6hGoCrkn4vhiA6U2rSpJO x0mQThxd53dV2xlmJxxkARhECPCK28+8k8yfuSoWbrXlbxEioJLTfsj2OZHEO91BmT+CqrZXCJLK tvEixVV2DTj9Rx4h3/ckSP4tRuIhLZyo8kSRyx7OjzcTyBoCWPGu3Tfp0yVH8UzhOjf61eKw1aFI pIoWms0lrPbgvwkERU8X5llPSgFMEZArOybHK0kuZp5Pr6TJwdYAY0qTxT1AQu6rsPbIzGzkZZCQ iQK2Th7SA6jDJJG8vGNpYkBILSC2Vjx9QToCOJIHHfalaYOjKt27VORuf0ZpkP1KWQwvcPALgjmh kiCkurKXJXqoC9+hyFC23BIiyI/FB3csckFvbanNGzQRmJArQFOEgpw9SMyIGSm6/a36Y+5uEbNE 9FKxbX9RiuobXWbtbZSzxxmW4kjlhDCEkCMPyIHFelKbeGCmomdkAlBWtnfRQwagHBgu43iljjEi n0UVlkD0CIfgi5U5b+GMeduMIkASeq+QBp0cemStGkkK6japZzS6fcEr6kUQ2uCPSUu7AgbUY8t9 sS5uKtv1Mg/5yb0W51D8w9PkgaJTBpcQrMCwBa4mIIAB3HHHJlETu1ZZ8JYJF5I1RtPnuEgtmmuX VJpJLe6hUNOq/vI3YhD8Q6qKVP0CEdXC6tll00yBKvq8jtaVfmH5Hu/KN9Z2zvFJNKhuEMUgYgA0 6kDuhzK4gXGOPw8gQtmkv1IoRGTwVS9FJ/dwxp1fiw3XITO7RkNkqjr9v4f5/wDdfsPfKwWssx/L Pybb+aNZv7KVooBawCYPJA0hJc8OICunEbVrk4jZydNj4yR3Ma1HQfL8t7eq11JJcW8rKyRRELGf UcgLUBPsrT4nFN6cqUy0MBjjct90Ld6fYm2tptLujc2q83uUuxBBQRgMEWrFnJ4kHb9eEc2qeMUD HcIq3ha7iWFrOK0V2kt1eEOxXivMtVZApB5dl/CgAsFthPu2U77XdcLsiOLueGRYXErjj8DMysBy 5V5dK9MBiyx5AZerdXk1XzWLIBGaOxmjkiEa8hApDCQypwNfU9QNuScFRBs81y55cPDH6VK1tVh5 BqyuzOZJXUh2JWtSxJ8cgZ2XFAZbFbpwslWJSvBnULFLxDFBUgE7ntt8XhgDlAcmOecjtISoB9R6 kBfGP+Xpt475OPNqzM58ocF8vWrqAsgRTy6GoUb1AqPozmc394ff+l7fB/dR/qj7lLUuH+IrBaDi YpAV7fbTwwQ/uz74/pTL+8H9WX3xZQGtwQoRSlK8abVFBXidu53rkAdmyt2M+WuHCckBiZ5+vWgm Yfqyefn8I/7kNen+n/Ol/uipeZpWktImPX14N9zt6yilTQ9MOA+o/wBWX+5LHUD0j+tH/dBO4QjW yjitNgO/Q/2ZRbe8w84yo3miiABRERQCo2L9hm/7N/uj/W/U8r24f3w/qj9KCk/a2HV/2G8B75mO mTG09IWWoh4+TNyWIem5+Mq1OjbYIncNkeRYXdanCLgWwBUJIpKg9FBBKspNem2XdXZy4fC+DLp5 6vJ9XJSFubBAjgVHHenLKAXWT57JDfWCwWF4TCGnKtyuyXDFQ8agMpHHbJymCzxmxR6frXWNpqHp 39zcMJTCiPZMAlwFAdYmqJRPSqN+HtgLnYoi91VptTtvLVvFAvr2dw6pK4jYlWVfUCD0pFLHi6tX 06b7bg5Exst8ZEAx6F2tX1tcwJDJPaFYUKS0iXmHEaRkCSqsfscloKBmbxOEktdcQu6pbqOjie5t rTQL5r+XnMLe2i4UjjWR+QL+pIWrwVhVvvyLHLikKo2ld3FeaY0MiGUaZOz/AKPNzxZeFWSTnHRv 5mB+EeOPJxiCB5dGc+SPMPlY6poWmu13JeT6pbuq28ji1V/3KR1ilCinqJ2B+D5DFycWSJobvV/z 4uLOLz/CLiX0mbTLcREVJr69x2GU6mNkJljjKdSPCKeb3t/rj30+mtrcn6JS19ZIF9CNo+EMcqH1 BGHChpBxPKppvkY6PHEcYHrc6eeRx8JPp4R9jGvNcN/c6Ut7q1/c6hfxOESa6kMrKjGvEV/ZrUgZ Zp8xlKi6PPMyNoDgqiQcRtz/AGG8Fy0FqKo4QByVUAB9+DDsPfAGJa07RINZvrp1kcLbtEUeBigo wPLx/lyGfKYADvtsxSMSSGUWHl6WLWbOzF4R6NsPgJj3kkiO9PTJK/CK19hl/FceJ2ePFUOfMWjn 8pa42g2wNwgFlHM8Ua2f7torqRVceqKrXjuNq0zXY8uIZJSBPFKtr7mYjkhgEq2ESOXSXX9RYlb6 pdrGwgZrYKzho4yVXkiBQ1ECCu3hmylzdJxnpshdXIWzumoAxYkniRuWTxxgfuZQG/xRtqkbaWs5 lEs0scXqrxJClYmpUjbka74zPJszgcRWJe2a3IQOqyhyoosikNQAb9t8AiWmKbN5o0jnECyuqRMH f05CGfgKAhjVhX5fxwiJbjNJ9c1aO+iMnIcnd2iSjbJVeIFaD9k5KINtcySzbyzqsUelQxcwDHEv 81SduhFeg3Oczmj6z7/0vdac/u4+4fctutXgk1+zkqFCROCBX+ZDgjH92feP0rIjxQP6MvvinEus 26qGkZRyUkihIDVHUEU7V+nK+HZuS3y/qKKsyqQxM89AKsN5WI6ZZqI+qvKP3Bp0xBjf9KX+6Khr 2qW720Slx6nrxEjftMp777DDggeI/wBWX3FjqpARH9aP+6CbPqxhtfUdf3ZqOZ5HatRU+9dsxxG3 JLzfzJeW82uCYGicDyJq255eG/fOg7PB8L4vJ9tC8wr+b+tDNd2RJAdKktT4ZO9KZmAF05CLZU/f bL+3+y/iuQvktJfe6PBJei5AVXXn0Rx0IwW3xyECk2FigUhpIVYIzsCstVUjkC1AabA9ckASxMLQ mqWyLBzVopEcScWRZCNgp7+xwKBTBdXhd7h5CKsWYEhSOhAGC3YY5bIKKI/XoUEe/Idj4nGR9Jbb Th7RiP7oj33zF4mNoW5gdCh9Ijf38DkoySF8NtIwDBDQ+2AyUpp5ISYfmP5fQlgsep2JC/O4j/rl 8T6WcOYfQP8Azklqd1pv5i2V1aycJhpUcfp8Q5dJJp0kAUjf4GPcY5sEco4Zctj8jbWchhKx3PDn 1G1AvGgdje8Ui5kFqIBxkXn6jHod6rTbane+LXmJjjG+7N7m3ttS0BZ7nTL5LKeRY0u+XCJmaRBG wkMLqmxaoNTmHjxmBtYaeMoWef435JBY6R5bu5fq1nZ3M11JXnG1zEAqK5dqOYdiFXjWnv7Zlklr GmJGw+0fqQfmDR9Nsru4ggimtmiiJaOSRZqmqMtXVFAqsg+n7sMCa3acuICRHKgmn5bfXzcXxigv prUcJL1LEnj6arIQJECSchy9+lfHbG1WmjkMSRZjyb9FZuI5Hn9qZ3badZ3KSmW8W6n/AHlldfWl 5NGytGIqNClF5itO3T3y2JsfsbZ45jYWdu/7OSzzBrWv2+kPIl7f28Fyy/VbY3EDxqjcWCERwRuR 1puO300Q0kOPioMtTqcowcJ2Gw5jlsxSBSsIDLyY8ixYGtSoJzKkd3TAK86LLDPGUFHrU0eopxbx 9siDTIGlSEejbNDTkvi3MkcE4gCpwmVspSskpROf9Im7fG230nLOiYck+0HQV1ayvbqSVYms4y6M 3qkOyqW4t6aSdaAA1X3ORlKnMw4TkBPckUhYueXXp7bbUHtkmjmm2k+adY0tWWzlCFo3hLkVb05F Kso+g0r1zFnpISlxbg+Tn4dfkhDh2I80t+syiYSqeLr0p/blgwxEeGtmmWonKfHfqCZ3/mvV76xg sZpFNrbszwxqKUZ6VPXfp3ymGjgO8hysnaWWXcFDRPMGpaNdx3djIEmhdZY+QqA6EMpp8xlmXBHJ RPMNGn1U8IIjuD0Khqus3mpXkt3dsGnmcySMu1WO9advow4sUYXXVjqdRLNXFtXcj4fPOuw6VPpc Uyi0ufSMy8aljCSyGp6UJ7ZR+ShZ579HJHaeUADYkdev3pHPdPLK0sh5O5qzHuTmXECIocnXzJkS TzKmJPiX5jJgtOQbJ6xH734R+3/N/k5U0r3I3+Eft9n8R74GVrdRTUrye2axRykDojhHWvIU2QMG KklcmNgHMwS2PmFS6keSBFnU+sPULFjyJ2Rdyh61U9sEubjyPelNzaRsG+Efafs38wyIZCajZWMZ 8wWi0oC48f5j44Mn92WYmzp9Ii8M1drxsT/MCyjttHicUFbiMfP4WP8AK3hmVpvq+DkaaVyb8oJH PFMCAUMshVhSm7nwA/UMjqT6mWfmmHl21jX80tMAH2dQ0+n/ACOhzIxH92Px3oxHcPbvzo1T6p+c +jW4Vud3pPBJEKArxkuGJrI0a9Af2szsWYREgRd19iZ4DPJGQNcN/a8Q846fqqavqFzfxPFLc3Mz o60miKyMGPqTD9oK1ehqCD0Ncq4gx1UaO7ovNnmKy02y0i5uIG0kiOSKBkPNhG1VLNxb4C1d+4yB s7NgzACkJBfQ6PcCcTSJeq4MnEKRwY/EFRoj9ob1J2wyBPJcOfhriP4+SfXGqW2jzx67q1ZLqSVf q0rIsnph4l4lk48dgn8uR0+WMp0Tt1askj4hkBZ6fgqZ8++YBd6rqeitHa2l2saXrzQKoasbfGiK PgD78RQA12GTmd/Tyb/F4d5c+qW3muafeS2ctyw42UfCNISEZyKvzcmJ6GrDx3rgjGQHm1HUx47u o/f9ivI2oeY7NLeyuG+q2v7t1mCohYBeJXhGrePXKjl8M+pGrzRnjAifehj5O1y3hdhPAEQMxALH tv1T2xGrgTyLq+EoWzeSa2MjICfjBNG7KPDbLZ7FI3CKdGo/wD9rs/8AKMiCypJZ2pPMOnxtUb9i fHLujOHJHWuk6nNDHJEhEVw7RKSaBnUAlB4txcbDff3yMiBzb4QkRt1Q19Y3djcy211G0U8LNHLG RRldDRlYHoynqDuMNsJxPILRb3Ai9VkYRhijOR8IYfs18fbEkMYA9VMb/tbfR/E5LmgkhUe0uUgj uHjZIJSyxzEEIxSnIKx2JXkK5Ac22VgWpEHfevttk6abNuuLW4iSORx/eqXCgEEKDSp2Gx7Uyslt jyQwbAlzdMKlpGPNfmP14Q05GSsrfvTwH7f8/wDk5XbClRlbf4B+32k8RgtSmeiecLLyxFqEN5px 1FNRDxtEHkjVFaOgY0Hx0r9n8RlOoweJRvk7bs7UjEJDvpJlnW5iWVEPErJQMG5ActuXHv45kFwM w9RKnLG3E/APtPXZ/wCYYAWpZZIR5ks6ih9Qbb/zHxxn/dlPV6A1M1KWG/mciNoEJY8Ql1Ge2/wO Kble3+ffMrTfV8HK0n1/BCeSWRopWqd5ZCO/WQ96t+s/PBqRu2ajmm2gp/yFTTj/AMv+n+P+/oMu xf3Y+P6WGLmGe/8AOU+r3Gj/AJoaLqdsiSXNrpimGOUMyMXlnQhghVujeOZB5uXxESeM6jqnmDX7 j65fwSG6mowRIiqcSKIEUCtOKbVJ6ZOJDjauErHECLFj3d7KNL1bR4NISKf0ZJ2spRGHEbhZBGRW j1+LqBtWuY0Iy8Qno16YDi3Xq+jX/l0QQ2yyXkH1wq7Khn4nj6Qfj8XLwqPGmHNIiQIO1tmrqhSh rHnCOxW2ubo/XNRtOHoLIphaIohEbgcP2BsDT365RpcHATX07/facWYynct9kuu9duvNFw7pY/WJ uKF2hai8qKObBUQMx+yzMK1zNBEdyz1OKWX6RfCLPkrN5Q14RB201ISxk4pI9KqgqxqWCgL7tv2r hEx3uF+VmDRG7JPJtnqdlpUki3MQs7mYv6UfFjzRQAeW7gDkQN6ZgazGOMT/AIgKZGM4Q4TyJtML 3/eOff8A3W36jmPDmHGpgGmUNkSf+LP5vAeG2bbIfUxjyRrhaP8A7L/fn8oyAZJFcAfWZv8AjI36 z45cOTKATKGGUxKWnkVQCWUFSteK0IFRT4ePxEfTtlczGxbl44SINHbr+P0qOqJKsxEkrStzkEjs QxMgb4ySC3I1/a5GvjljTLbmUTd+ZNVGlT+X1dTphu3ueHpoX9U0H95TnT4RtXIEC7T5X1QSadfm riB2AC0IQkGp2ptvvtlgaiCehVJpNaks4bOT1ms7VnkhhYHhG0gHKgIIBcoPngDOfERXRQezvEha R4WWMceTFabsCR2B/ZOEljHHtZV7/WNR1GGKO7dWSyt2hgCoiEKW5HlxA5Gp6nKxEDkzHvSqJGYM wBKrSp7CvjikNzROq1dSvzFMKkKUf94nzGENMwyhgKS/7P8An/ycqDFUYL8Xyf8A354jEIKb+Xr/ AMq2tvqUfmCYQSMzNpjPbm4pKqEqRUii1bo2x75VqI5Dw8LtuzpYwJcfwSTlDIGkjYOreqQwDAN8 XUAUoD2y+XRwM31FTmACOf8AKf8An/mX3yILWo2MinzLZjp+86b/AMzeOMj+7K9XoJIIzVJYh+ZN f0EnEEk3MWwBPZvAH8cytN9XwcnSfX8EP5JLD16uYiZ5TxG/+7G9l/UMOc7tuo5pvo0rv+aGmKzc lS/sCu1Os8Fen8ctxn0D8d7DFzD0X/nJmzWf8wbaQ3HotDo6sqBA7SETTtwUMQBuo3+6p2y882+Q uQ3p5df2sN5ps11ZGqW6R29xGGlmeRom/vBK6RrACGr6ewAG2U4YyiTxG7NjbkO7z97PNlMqkN+E CP47l3kvyrqeuvfPFHNJcQuDKUmjgA59/iYDfpRcvlj4hduLgNyIq/sVtN1HTbGxu2cyilxx9NpG etISxckqB2A+19GYmXESa6ts4CUOIcr70i1rW7bWL6Z7O2ayt2iBWGRzIylVFfiKr+1v0yzBjnDE BI3Jx5ZAJnhFBH+TfLGr6trtjb6RaR3txpjre/VmkSFSkTo3FmkKLRj8++22TlHigQTzDbp8kuME fFlvmTzNd2Zih+oabbSlbxL6ygnBaKRSY29VlVFB5bjZuXt1Ov0OMjJMHi9NUSNj7nZazPEmBAiO fX72N2uv61bx2loUjgE04e4l5GsqzuKqoYL9mu4WtM2WWAkCT3Oq1eQmo9zI7s/6HP8A8Y2/Uc1c OYcOmBaYw+pHf/fndh2Hhm2yc2EeSMdhR9x0b9p/5BlbNIrg/wCky/8AGRv1nLxyZQT2wsybS2kE o4M7wFVkcMTRWDsBsqAvxBHWh2yEpEHlblwgCLJr8fcg9csJLbUbiB5PWMM0kAePlIhERI5RueJZ G6qaDLAXEzDdq1IXVmYmnGcutOnIE8T08aDAQyh9T29PJXk6bTraW4sC7yqszkTzrWSVVLttIOtO mUcRd2NPAjkpS+UPJPoekbBikBZ1T6xON2IU7+pXfiOuDjLL8tDuSXzroWjaDoqT6HbtbTX87add cpZJfUgeKR2SkrOFq8SkFaH3yyBs7uJqsYhH09dnk/pXD+tzUBirmlfAqKCpOWmnUwNHdvShcxK8 8Kq6wspkjLEF+Roo4ggtxp/XIGOzfCVb80XraXhSRp0RRXgVSTnR+ZbkwqQD+z8IUfxEY0Ods8hs k8kgj/vU/wBYfryTjTZBf3TQRuygMXdk+01BWhr28MhCNsCaQo1eap5qpXcEKWB+Lwqx8Ms8MMOJ MZrS/wBRaWGztp7v6up9Qwc2CB+ldxQdcrANOTj4r23XWlhq8rehDZT3DqGiWJCzyBuJbhwBrXih NBXbHg82U8czvSjcC4QTJcWs1uYmf1BKsikHlQgjxUqa48JYcJHRQsVQ+ZbN1IILihBJG7N3OQmK xlHVn7PmrtaY/wCdtOTUtHSGnExTLLVeporCm9f5svxZOEtuCfDJL/KUIt4eI3PJiS25qWJ745J2 W3NKyyjQvLVy3m3SNb5fu5NVs0CKT9mKSEsWHE036b5fimOCnIwYCYiT0T88vMln5d/OLSNTu7Q3 kcWkECFQpfk73Crx5gp1O9e2ZJ5s+ICQt5lb6zq2rWvmC50jT5RHc3d1I1ms8EUQ5D1fiq6sWiFC OMRBKih8L5ZRwiNb1zYYcRuUr2J5fCmJNBrtrxuINWk0aW6YfXIIvUiI+KnMCIKAvw/ZzFll3Ivk 1RNXtuaQ00FnAs7NqMN9cPxIIQxSFfSZW2ZKUDMo2ap608DCXEVyxAG1fd9iWRmQuEhq0laIyA8q EUptvmRTgp95V1jX9O1VZdIu5bTU5v8ARGlYxt/esFUETKQu/ftlc6AcnTSIltzOybanpl7ozzXW v28kOo6pK0kouwPUd1PKbkkW6hzJvUg+GRhljP6TybZwOM3MfV3pj/hK+gtbbULjSRDAZIZ4L93k IlV+P90DKwPxHk3w/CdjkZ5QQRe9Fhk05EeKkfeAi0n/AOMbfqOayHMOIWBabKq2RUkg1fozDsOw GbbIN2EeSMedKP8AEf2v22/lHtkKZJLO1biU/wCW3v3OXDkziNk6shpQtrdpZVaRXdJE4Rf3bBSH q1C7ciw4tSlNm3yEib2DkQEa3KC1b6h+kJxauslukjJC5T0+Ua7JIVjqFLjcipIPc5YHGygWmAbT f03GLFpEQIfrXrsnIzBGEnpkCnBuXwg74KLMGPGKe4RNXTbQbhRBEy71NQo65jF6KHJDOsoDUNA3 w9j9putKffkWaS/mUIV8tWaXKPIGvRwCtSjtDMasCG5ClfhFPmMtx83B1tcHxePwGIQT8i/1gLQE EceFd603rXL93SRMa81bSY1Y1Z2Qo3KIqpbw5kkA/Z+HrkZVW/JvxDcVzVtUiRLcsHJZk+EMpAMX qHdCQAy+oCKiuCNVsyyg3vzSNV/eqf8AKH68kHHyBP5GjdZlf4lPLYsxH7PtlQayl9tZcZWM4BVF YLuaMfHof4ZbKW2zEDvZh5EsL+5a61VbWG4tp2kgVLmQqoKRhiw+F/iVXFPE5jZMsRLw79VW5eCJ rirY2GV2Mup+XruLzPb2kL22lKrnSreZ41nkmEkJYkR8Q9GFSVJ2yzGQdnLwgk2OQSPUbZ7+eXVm lIuNUMl+kD8Z0hW/rIYf3i0f0w9ASOu9BmJqJH6ejXlmceS/xuks+gQWuoWN3C9OMqRtHxUVJqeV VC/qyQzmUSD3OIZWU/cjMEqlmrh3W3iDmMSzBHK0rTix7g+GWY+vuUGitstPS1UKpLUr8TUqamvY DIE22GdqOg39/wD8rK0yzW6lW0/SWnu1uHb02PqxD7INP2sz8QHAPx3uRhmdhezM/wDnLR0Xz/p/ I0rpcdOv+/5vDLyN2ea7eSaJ551HRrW40rT4oD9ekZzcsreurSKqEIxPHcL3U9clRZY8hjGlW/vN fJpdXCkmoPJI2+yAf5T2OQ8OHc4UpG0skeZpAZAsk8BboqrVKDccQOlK5OMQOTXIkojR9Wu7C9uX s1j+KhVngjmpRjTj6itx69smeTm6OHOwmS+Yri11aHWJ4YXvIGhnMLwiOORopfUHJIwnVaVp18ch KIIronLLgygjpTfmXzVJ5onS/aKC3defJIRKq70PSR5T+OVYNPHFddWOu1BzGN9E5b8xp9Y/Rmm3 Vra2y2fp28LW6SK54BVq5LurE08BlX5aMSZD+aW3U6wzxiJTm7atpP8A6jfqOYcPqDrC82tI5qh4 zwFW5OGpUAA8aZuZkNYCItorlJmdiUUq3qEvXkaHrT54JEUkA2hpt55e55tv9OFtjyTuxeFbaCqy ftmM8SQVoAQn7pqMHqS3Jh0+EUyEhLo5MDGt/wAfj4+5A6uYTeOyJIiszNGrt8QjJJjDHhGGahFW CKD4ZYHHyAkqtg4TWiCwRWeVak7EuKAVAPfElEInjeup+YPkuK1gtZtQdZ4I0jlUW10wDIoDUKxE Hp1BzHMS7+OaIDTefPJHpq7ak4jckKBa3JJ40JqpiO2/WmDgLLxopV538z+X/MGhxRaReCeSyuBd XCyI8BESQyKzKJ1h9QguvwrU5ZEUXF1UhKOzy2N2YTFzU8Ov+yHh88st1Qx1u1b3lzb19GThyBB2 B2OxG4PXvid2QJHJfc6heXSIk8pkWNeEdQvwryLcVoNl5MTTpXEBZEnmhV+2vzH68IacnJOGkasn x/z/ALTe2VUwXNK3xfH2b9pvbBSU08oXj6dd3jkSPaBWVY0kCryfjXmlQWXp88GSHELA3bsJIBHM Mg8za9NqGnXCaZClvbzosTsqmNGlhkDhA/qcQ3Fvir2ApTDCJHRzcU6PIAFj1x5gNndQQh1mgSJI CVLNQRIFRviPw8j9odvDxE8EZc2jP6pbtQarPql9ZxQqSsTiabiAFAFaciSe1NvHKp4owiT1LQYB kDI2YFMUvvyfXs06t64PHvQI1T8hk4DY+5QmP1O4IJ4dCK7juaDv45HgLKkk0a1uIfzS0t5F4pJf 6cV3BqDPDTof8g9cz8Y/dj8d7kYuYepf85C2yy/mlYOyhgmkIAGUOKtPN2PsDg1F9G/L0YNdX3ky aGOyjjuLu9tfSubm09CqiJFHqHdFUqEO1D4Zi4fGMrkKj+PN2Wohi4Bwkkikt/NHUdL1DUIdX0LT 1s9IWBbWUrHHbs8weQ8ljV3NCrLuflmwjMS26un1RBOzDb1Ldlb1Zd+TcDUtvxH+ThiT0cYhPvKu lQpLHqNw3BriNoGhZCQHkcoGKqyEAhTuaAE4ZjZzNJxXshfOtnp1v5puhd3M7W7HmDHErMtVog4t M4py/wAv7P3ZG58HpAvz/BRmA8U8R+SUwR2qyuLdnCmNS/Oi/EQSaU5bZZLk4RNlVhiVdVsGDVrc L3r+0vsMrP0n3LI3TPrsf6HP/wAY2/Uc1UDuEUwLTT/oZ+Pju+3KnYdqHNrPmgckbK4SN2MvQN+3 1+Ae2ABJQd5pV7FZRanNG6W167m3ZlccqE1+IqFP0HLQRybRAiNnkVe11eGKBYyhUqSTxDfECqrw NJFHHblsobc/F0wSjfVthlEQdvx+Pj5obUbyO6uXlSMRqzswReVFDGoRebSPxTovJmPick1k2mNz 5avf0JN5i9SA2f1uS19H1P3/ADFDy9On2fj61yBnvSeGxaR/FXtkrRUu9Ey2N9FaQXcsLJa3RcW0 pFFcxkB+J78SRXGwkiQ3UNhhW6G6bX2iXWmQxSXDxOLyAyxek3KihgKNQbHK4TEuSbSqmTQ1hYlp ftr8x+vCGvJyTpz/AHn7z+f9o+A9sqYOZweVJP5v2j7e2RZJ95J0a/vWm1QWdvc29wJo1S7LhAIo yzsCAauinb3yOTJEHgvcC2/FA/VXO2QWdze+VtXs/McVlbvZ6aPUfT7eaUGVp1MRdfgKhirCu3bJ Y5A7OVhibBHIfpQMpkvLu51kEM+qNcXYtZgkkaLfc24t8AZmRZdjWnIA07Zh6qRkODly3HPY3/ax yTOPJex/a6ztLe0t1hgjEagVan7TeJ98qnMyNlwybau7v0PTVUaWWVuMca0r0rU16KO5wxjaFK3s xE7zy0e7lp6soFBsNlUGtFGM5Xt0VOGSH4iXSlVA3H8x98lTNJLExD8xNGUOhK32nVC05bTp1oT+ OZcP7sfjvcjFzDPf+ck9RhsPzEtZpTMS2kIkUUAVuUhmnKFwSvwBh8RG/wB+R1EJy2jXMc+7r8e5 zY5eC9+cSHl1trsf6WuJJU9FGiFsWZRyE1xCqANRvs1hNPD8MtkPRSJZAI2e6lXXrGGfQDBapM90 GB4EKFY8gB6dKlhRt/fMHScQmSeXRxJ4rAoG0k03TdZ+ux3d1DRbdmbg6O1XP7sLQBqnnQZsAQOT COKQN066udRsWdLqOzjmuIpEDtAxkKyfCSHKFuQ6A1rhEr70zkQdwBfkk8FjPJE4gdWjIHKiyU2O 37PjlnwcYxvkrpbahbO1baWrKF4gEEUUex8cBDHgKtC7NqGmseQJuF+Fuo+JPEDK5ioy9yOoZ7d1 +pTj/itv1HNRHmGbAtKall9vjvJtyA7DtTNrPmxHJGXMYlikRpKg1P2wdwoI7eIxiaKlvVPM9zd6 PFpD2ttGIJGaW6iQ+rKQSAGYkgAV/ZArtWpy2MQN3JnqJTgInokoUlWYdEpX6cTIAgd7WIkxMh/D X2t0bhz2ocbF0ijwcXREfWb07+u++5+JvAn+GDjDYIHn+ORP3Bab68rtK/Sv2j2wlYm13127pvM/ /BHCjia+uXX+/X+84qS011cMhVpGKtsQSaHFADUETzSpEv2nIUbE9e9FBP3DFQOjd5ayWty9vIav GaMQGG/yYK33jFZCjRUVPxp/rD9eSDTk5J3Jv6v73rz/AG/ZfbKGLbbKQJdgH/b+X+TgSmfk/V7j RZ7wVuHtCjxR/VxE6kyBQyyeojfCQwB40PuMM4cW4G9N2KZiCOiaeZ/M1xqFs0enQLGkqBWX0eNH hcP05d1Jry2oMOPHwuViyUeVWkd1q95BJaQLqKxosSRUEINAihQamveoO/auCWGJNkNOeXFK1JtV 1p7qK1tr0T3E24Cxx8ANzu3sBU7ZA4cYFkNJAZLaWbQVknlM91IAJJiANh+yoAFF75gznfLYMUSh /eA9KV/VgChibeZyPXP1iZjyX0QyIRT1DUnfrxzZ+FHubbCM8sakl1538ttHLI7vf2HrllVfiE4U gcT048cSKjTfiO4er/n6tvL+bukW8yJIz6YrRRzRCaIsklwfiQrJXbpVcuxGHq4u4U2zEzOPDy3v 5freIeaEu5tUljsrCZFt53YSLbtErN8I5InEcVqtRUDbqBlUpx79mOoHQsrhs9DXybp1xbX4l1y5 uke+02SWFgFMgLerEFaZFrGvI9PbKzGIFt+MAQ4R3g/cxnSLW5urhUu/VfTyT6SlpBGHAIjAp341 yyRARDFCQAQPmyGOK8VF5AqTzVq7MURiBXelTksYAGziaoATNIOGL6xcxRrbI9A/KoY8vhkYVq4/ l/ZxOwbNJASux+N04Oj6ez2q+gEMtjBMUWKRSGkRiT/ejkdhv09hvjAksNRACdDuS62tJLfUrFXo V+tjgwINV5IQ3yIIIwZD6T7nDqiz+6INpPTtG4P3HNNH6g2MD0s0sqcqbybclHYdjm1yD1MI8kwd /hc8/wCb9tP5BkQFSOf/AHpl7/G2/XucyByZR5Jho0NkTJJeR3DxKBtBttRmNW7GiGm3j4YCAWyH XmjI4dKiRGnhmhEarzZA6zSbycStZGRQVQctj+1TEgHmyArcWEBNbXckvH0RCYgFkAJU1QMSzB2r yopOGgwlxebNtHl/Lv8AQSLq+jX0+rwsyXt4WahblIyin1iMbRIAfhHTABWzfCUCPVZPVAecL38v pdHEHl7Sbuy1KO5U3E1wW4+jwccCpmmo3Kh+z9OFGXgr0jdhoCuSQOIAFRXw2NK9zi49GlSCB5HV Qtd/iFaGg67dcWUQSmNy36H1xJraPiYCHRH+PeniRv7bYkWGYJhOx0QWq6hLqN7JdyqFkkJJC1PU 16nc/M7nvU74IikZchnKygRIBcRpQ1Yg17bHJtM47WyCSQAy/GN+f7fsvtmPTBtpPtfF2f8Ab+Xt gpKd+RrS9uJrvUVtJZrS5WSMA3MlqKxR8i/NCvPh9rj9GCeSMTwX6gLb8INGXQsp0G4Ty1rNt5ia 2b9F2FHubaGVpriWWdZIuUat8LHiV2Zx026nJY5CW3MuXiBJ8h+lKtSM15e3erLcySx6pJPd29tN TikV4zsiuAK84xJXY0DdKjMXUTl9I25e/n+nr5NeSfBPf8WhtN0y0sYz6IPqSbySNQuT7kAZVkyy nzcMlUvYHmiCI1KMCRWlQO3fIRNJBpWhVxxDHkQKFvE0wjmhi0nnXVXtLuf6pKLW6lWlxv6YdJWn 4c+FCfi6ZtuEOZ4kq96N8u+YL3VPOflUXEDwol9YJGX6N6VzxJX4V2qxH0ZEgAFmDIyFvSf+cj2m g/NXSr5IhMtrpic4y5jJ9SSdB8QWTpWvTKsmQRO7kQJ4hQtgWpz+ap459QT6pHZxiN1t0Z5nUOVg AHEAFvhUt0/hkOGGQsdRhkZV3IS4sNXlawlX6rbQvarMXEMVwZDI/KjepGjLsq7Van825yM5Rx7V bRlnOFDyRFvZXqTGSWeFwPijVLWFODcxJUGjGnIfZ6U26bZTPPfIU1RzyHL7ks86w6VNZi4tLhbu dJAryIvA1pWUMteqsQDt+GW6OeQ/UKXUbnY2xm39EXSK6I0cYk4lvSNfhkABLlQRWn+dMzTybtJt ad3dyBPbXSW8E0cVvArNEqcOSqxPqEFxyO3Ovj2wAbfFr1J9doWScz6lYSkBfUvWfitKDk0ZoKZA /Sfc4kujLIrE2tpdVlaTmjEg9BRTmsBuQZylbDdOZhZbUpV+6jsPEZtMg3ao8kaztxfp+1+0n8ny yACSUDe2iLHLKoJkLVNGU9aE7Ae+WRlugkgJ/wCRrrydarcnzJptzqIuTGLJbVmBQLzWTnxkhry5 KO/0ZYWzDIC+IWyqfWvyR4lB5f1FlQjnR5SBtt/x806A0yIDkHLj7iiINS/JuQKG8vaksjFgiNLK GI3Oy/Wq78jgJpIyQ7ik3m2HydcRRnyzpl7p80bOb2SWVqMCvw1LSzf5Xh3wcbXMj+EMO1Gwkhi9 QrKVeUeoWbkG+HlU0A33O+GBvm0SlJR0yY+usErNHbABpWDFOJDBa8uMnH7Z7ZNyB/dg9U01YvDb mO3uEuLe8r6nqSJIw9MIVAlIjNR4LkQb5tYymJBG6WxXF1aqWijj9I/CQGV6gV92/nyYIYZM5kbQ Urs7NJTdiS4FfhJPyw01iZXj6spVuDllAZm5Ab0HYr0rkaKbtUa5nuLtSWISSRaIehHIH79hiIgL EWUfpmpOLeSSS4eOR0ZKGQoAhqtePpsaVUA/FvtgItycsQJGk28teaYdLvLu1u7iUacDKbeBQjLz kIDcuUUp6ClV4nwIyjNhBPEBcuTCGUxFHknHmbzZpl1azQ6ZKPjhVo4lLP8AvElq32gTVkbx7HJY oGPRy8OWINcrSk+ZIrd4oef1iGOJIYwCg4tHQciVGwfl36UyOTTiW/ItGokJStc3mu2WnKFqFQ3w nka7bGg275V+T82jhHerx64H0mK/IhT1Zmh9BpqMoVeXInhT2p8sH5Xer+xsGL08V9Uuj85Sh/is SBQ7mT2/1Ml+UHewASCaHUP9GjiWM3E4Xk4lQj02YuqMvLgp5ID0B7HMm3YRjLhohOvKjahN5+8v XdwFYvqNiZpFIqztLHuVDECiqF2A6b75GXJnRJBL1r/nJTUtQ0/8w7a4sXVJf0XECXMfEj1ptuMg 3+jKc2KM9pJjnOOXEObzC71/UxBJFdyztNf20c0VYIYVHpu0vwEtSWP4OKupAbw7Y6aGONiH8Jrn bbnzEyBkbMojy/t96X67rF1JeQ2lhdn6vYW6W3rRspWT0+R5rSn2h7n55dKETuQ67PkEig/rWsDl /p8m1fDsAfHK+CHcGhSgN2lzFb/WVUTTNK0zRo5VqBmbc9/nlnHUbrkzjOl1/p0d8oil1Opt3aki wopcyUck8XFeveuVeP5NvGVsFiIybdNYlVFVSKAgGtRSnqduOE59uTDiKvBZQC5hnl1VphbOJArr XoQT1kNK8cjLNYqubExtlzXMU9jJJEwdGiY1HsCOxPhmEBUg1nmwvTlrZ/S/h4DxzaZObXDkjHU0 b/Zd1/lysMiGnSquD0Ne6/yYgqQls4a0m4JKzAVIUGnHkNtwTv8AFl8ZWGBCpbyIbedpEDcAPTJZ hQ1UdFKnp3wshEhUXXgq8Dbo7pyCuHl7rTu2RMQ2AFRj19lR1ojKwq6u8pBO42/ef5WEgJEVV/MS sW4wwqnURlpSSSKH9qmREQkxUZtajM5aBFjRlAZA8lN6E/t/zYQE1QV49ajlWKJ4Y29PnQyF5B8f grMadOuFgV15LaW6RwLDG4oZJDzcA8gD1507bYjvY8I5Ul5v7SgpBF033k8f9fJWyEPJUl1OxPps sERooVlJk7CnXngCRDyWfpK0WMcYEWQNXkHf6NuVfpxZcPktGrcXavFt+vOXf/h8WR3RdpfQyCVW t4W9VGZmIdnBVWYFWYtTpvjzajSyVWtLvmDxjdiqtG4DAEioHE+Bp4YAbCcY3pq4WJpWmiR3jZin KY7F1ClzzqtT8X44225cfCVNOS8olSP1OJDMWVu/apIr8sNtJ5I76nbcmbgtVBp8S/zD2yriKAdk Q8YccC4AYMKmQECr9TjHmyARMOpacl1ZAwrVYhUGxgapaJl+IesOdKV5Gm+9K4CPe7YZx3I/ypqN vJ5g0FEhHqS6xYuWFrFGFDSREhZVkZ9iuy8adT7YkcyvjA7PTP8AnJLSNQ1T8yLG2so0eR9MjFZZ I4UH7yc0LylVFaGm+A/U48sZlyeNadLNFq+ny6pNLIlncRw/vmaVYreJ15RCpb4EUn4RthiANxW+ 7WeKxxdNmT/mbe+WNW8ywXnldIU0xbQQyfV4Bbo0ytIxPAhK/Cy/FTJE7bsNRROzGjbPV+nVuyfy j3yq2nhQOr2k1YmQN8LmpjIRuinYryI6daZOBDZi2kCUsEFokhY2kwVVZHHrPUs0arWojG2zkjwa nbeezm8UUwsofrUkxtYZ1EVPUX624IZjIeVSu/xEH5j3yE5iPNJyRaHlu4Z09SLkAF5BpmJKKEHG vyjYD2b2GQ8eLHxIs00qyaLSJywoWErBeRcgMCaFm3PzzDlK5uvym5FjOmQObLt1fsvgPE5sMp3a oDZGSQOA/T9rtH/IPfKgWdOMD8GNB3/33/J88IKkIa50+VpmkWTgWA2XiPsoD2YZIT2UxVrLSFuY XsxNDZsqSSG7uG4IeCK/DkoY1biQo8SPHJxl1bIRvZjsheNioSV6V+NKsvUgUKtTthk7GGONclgd +O8Vzt/kt/zXkWfBHuXCQ1/ubj7m/wCa8V4I9y4Psf3VwP8AYt/zXivBHuRGn2pvb6G2EgtfVkjj 53Z4InIkcnJ5EL4nJg0GjPiBFCgjNR0pks4rozRmpERt1b98OIQ8uP8AKeW3jQ4bacUBdpSSdv3N wPD4T/zVlbm8Ee5byX/fM/3H/mvFeCPc7bb9zcU/1T/zXhXgj3NckrT0Z6/L/m7FeCPcm3l6weUf W2khdIpPS+ryOBI/qJI1eA/YXjxY/LJ3vThZsYu0vmZBIPrBeg3jleQoG3NSoPyFd8aThwgi1stx ayqFkl5gEsoa4qASADSviFH3YhtOEHmVL1bVOPokFzWnGXkRRSewwhhPBGmQb0cknof2h/MPbKXB K9gO9TsdiwP7fhTGPNkEmubS+a9MgeQQ/Ex4gMVG46K3Qe+S5ufHLHuZR+XGn6k/mDQ7n0GaBtRt wZuaCpjuEY/D9o8VyuchyZDGSRIDZ9Z+dvyoTzP5gj1pdYn06WO3S2aGKNJEYRyNIrMH7hmqPA75 KeO0xkYmwwqb/nFjR5nZ5fMF3IzPJK5aKM1eUUcnf2x4C1yjfNUb/nF3Rn+3rtyxFaEwxmgIpTrj wHvYnEC7/oV3RN/9zU+9f+PeLuKeODwz3o8ELX/5xa0Vq/7m5h/0bxdxTxx8M96RjAUG/wCcUdDY OP05NRzU0t4ttiNvi98fDPezoLIv+cTNHiaZofMl5EZ/7zhFGvQ1HQ4TC+dfJeEKn/QqemVBPme/ 2Xj9hfClftYPD93yRwRV4/8AnF7T0j9P/FGoFSKEcVpT5Vx8Mdw+TA4Yl0P/ADi5osMfpprc3Hfr BGTuKHcnCYEnmxGABUb/AJxi0g1/3NS71/494u4p44PDPenwQ1/0LDpFCP03Lv8A8u8fhT+bHwz3 r4IWv/zjBpLGv6clHUf7zR9xT+bHwz3r4IWyf84uaS6cG12bjWtPq6Urt4P7DCIkdV8EIBv+cRtF ZiR5hnFSTQWsXf8A2WSotw26qg/5xM0YRqn6ekqpqX+qx8j7H46Y+ry+SbaH/OJmlhQB5hkqARyN pHU1r/l9q40fL5Lbbf8AOJ+lsCBr7qSKVFon37yY7+XyW2k/5xN0teP/ADsDkrX4vqcYJqKbkSdu 2Pq72E48QXTf84oaZKnE+YZq7UJtlNKdOshx9Xewjio3aiP+cSdPDhv8RyEVqVNnHQ+20gxo+Xyb rcf+cS7Aycv8RuB/J9TSn/J2v44+ry+S2ub/AJxOsmAH+ISKEnayQVr8pcfV5fJb81Jv+cSLMsG/ xI1BT4fqaUNP+emPq8vkt+ZVIf8AnE20iZmTzG6Fq/YtFSgNagUk264+ryap4xLquP8AzinB0XzG QoUqF+qdKinLaYbjBUu9MYV1Wt/zimrEf87GKAUI+p9dqVP77rjUu9lXm4f84qgCh8wow3qDZnev j++w+rvUxSbX/wDnH9dFnt4DfalqP1oE+pp+mG4SMeoiH1CJhx/vOX+qGPbI8JafADGrP8u7K7ty zJ5htL0iMQ6Xd6N9Xu5vUlZWMEUlyPVEQQPKykhFZeRqaB4SnwAidU/KrSrG6mVdU1C7azEhiktL COZLi5hEbtYwkXPx3RMhAh2aqP8Ay48J8mccfCbBTXyf5R1K0v7O3isdfhtra60+UtPoAhFLuRGZ FmMxZUir/pFNk365E4yW6MyBT//Z xmp.did:BA83EE0B77BDE11186BAA5DD8AB48C93 xmp.iid:BA83EE0B77BDE11186BAA5DD8AB48C93 xmp.iid:13ECAB9E62B6E111873AF31CDCA1F964 xmp.did:13ECAB9E62B6E111873AF31CDCA1F964 uuid:C1CD1A507BD1DF118EF8DA1367FF84A7 converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator saved xmp.iid:D03A8FE0D23EE011BBB794E164CA3EF8 2011-02-22T14:27:05-08:00 Adobe Illustrator CS5 / converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator saved xmp.iid:8F18A9C5F866E011B0FCC1BC846B5BD3 2011-04-14T18:25:14-07:00 Adobe Illustrator CS5 / converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator saved xmp.iid:13ECAB9E62B6E111873AF31CDCA1F964 2012-06-14T13:50:48-07:00 Adobe Illustrator CS5 / converted from application/postscript to application/vnd.adobe.illustrator saved xmp.iid:BA83EE0B77BDE11186BAA5DD8AB48C93 2012-06-23T14:04:39-07:00 Adobe Illustrator CS5 / converted from application/postscript to application/vnd.adobe.illustrator uuid:C1CD1A507BD1DF118EF8DA1367FF84A7 Basic RGB 6.833306 4.882813 Inches 1 False False Helvetica Helvetica Medium Type 1 003.001 False HV______.PFB; HV______.PFM Cyan Magenta Yellow Black Default Swatch Group 0 White RGB PROCESS 255 255 255 Black RGB PROCESS 0 0 0 RGB Red RGB PROCESS 255 0 0 endstream endobj 388 0 obj << /Annots [389 0 R 390 0 R 391 0 R 392 0 R 400 0 R] /Contents [402 0 R 398 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 1418 0 R /Resources 393 0 R /Rotate 0 /Type /Page >> endobj 389 0 obj << /A << /S /URI /URI (http://www.xilinx.com) >> /Border [0 0 0] /Rect [275.58 26.4 336.42 37.56] /Subtype /Link /Type /Annot >> endobj 390 0 obj << /Border [0 0 0] /Dest (G3.446513) /Rect [441.42 673.62 490.32 685.62] /Subtype /Link /Type /Annot >> endobj 391 0 obj << /Border [0 0 0] /Dest (G3.446513) /Rect [265.38 673.62 314.22 685.62] /Subtype /Link /Type /Annot >> endobj 392 0 obj << /Border [0 0 0] /Dest (G3.453584) /Rect [399.9 389.52 443.58 401.52] /Subtype /Link /Type /Annot >> endobj 393 0 obj << /ColorSpace << /Cs8 1435 0 R >> /ExtGState << /GS1 1436 0 R /GS2 803 0 R >> /Font << /F1 1437 0 R /F10 804 0 R /F12 397 0 R /F3 769 0 R /F4 772 0 R /F7 807 0 R /F8 800 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC37 792 0 R /MC38 396 0 R >> /XObject << /Iabc1993 399 0 R /Im5 401 0 R >> >> endobj 394 0 obj << /Filter /FlateDecode /Length 482 /Subtype /Type1C >> stream h\jQ禙1ƎP%Hд*Φi@T]Ia&sN3afkQb1BEJպ(0ЮEA"ޖ:ͷ~AB0 \Nt<5+5jbzk`;dq>«7PO+]BOVٳ7\.AyL_Cm-'lyMdtKds\:\heV]1MRhu:@jW|:b8D%tVg5EFr2C&ɜ#jI?a cjE GЁPPY7Be[ys3" a<ܶ&) }/"B.^h` R\^ma KVkܯ&$WIlĐ: ?h8yEQ> endobj 396 0 obj << /Metadata 403 0 R >> endobj 397 0 obj << /BaseFont /DIIBON+Helvetica-Bold /Encoding /WinAnsiEncoding /FirstChar 65 /FontDescriptor 395 0 R /LastChar 66 /Subtype /Type1 /Type /Font /Widths [722 722] >> endobj 398 0 obj << /Length 18 >> stream q /Iabc1993 Do Q endstream endobj 399 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc1994 780 0 R /Gabc1995 782 0 R >> /Font << /Fabc1996 791 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=Y#rU ((P[QSqfѯG$DS3RtriK[Zߖj?'=ʡ|~72ɢԑH5J\[-<8יq|mau3jl?k!HUl)l,Rd!/dy> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 401 0 obj << /BitsPerComponent 8 /ColorSpace /DeviceRGB /Filter /DCTDecode /Height 896 /Length 56876 /Subtype /Image /Type /XObject /Width 423 >> stream Adobed     $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;"?   3!1AQa"q2B#$Rb34rC%Scs5&DTdE£t6UeuF'Vfv7GWgw5!1AQaq"2B#R3$brCScs4%&5DTdEU6teuFVfv'7GWgw ?b[PRk \|i|/6=BՂD7Shw#]~I5>eVM̝w9ISKȂuOM.tX@ǥ/dh6>+<%ELpʯńgNdh9M[kG =@MbAp#Jlv䖲yJ?؊->iY'~IVYKNO)擇ZIIjx uD*:r~p%Z۰J ;x]WCX9?TM .MwihDȐ: yX}uI|o4ٻwM{m:wxC#%U,.!c3V.KX#SOp錼$9nOu1#@o鄓jOEeY45/f&&Aɭ=OT6MW+D2-{ w{ί+ E?WV;g5kEʷP7 Ǭ_|yLj|RrY/?Mc㾍L~t[j󼌦v)lH`KAݗal>E/^ِ)pg8>qK-gS?M[:R7[C?+n'5/`7(nOL.\>eIL>a'Kvnb'[3O'~3b?ޡTnr0D?TzPǒ;zrF'W^PɃzKD>0Ks^| zց$-tHqӄ3bzOKrk0{eXIvOճcj=5{. دs]7xicںeVwbNߩ`uN1Uh{d#ȅ^ؿQsr24z{ϴ"!HqL.)cҺYUZlitw->ZՆ}pѲvuS2:ŶZgn u}Zs)e, D7gF<|tz?xMc\HLW;4SiykuE_yU0.a#=kb7ֳCsWkt>hVϽ]!kK#9#?UzTHɳ_6+MZ_QG6'NuKsW^?ҟzz'Fok">@.G{.?|NyN&tWm z:O/e2W?aΗ{1C~C_\F9M}"҈R8Kx%]s+F+E`X77 'xx1{88]{v5SlN!u}lVcޠmLh萸aOX: GA)rʫ-K=_GCL45v\ O|L<},vnwyQ:kuKq#C1.[־]: .+0x oL]ƣU1C(Ej)H8k@%7dimgN'TQ \/ʘ:rHI*<䓌{$xiH} ^Κ<$vMKIH )pe>OMRWcla44eCNґ%=]gL:9xK$w֯8Kݷv $%zj, Fܺ] [zyBPzdWamEŻ9^t &9NKƚ#} "G 7M =Bp0=Y:T~WM6`6&> 'Sy V<|u^O.g:_U[> j~uzQ9Oo[Fʙ7|ne}JxN8'LH~Ikd~)]'%2R%Dhv΢BF"u)FJTuM<ϒ`d۲J\$Iކ_X~gi&F_+Ã0V7DuO4FM428K=jkb9 ީNF7GI$z&5'sy$2L; kyl-lSpP`F@=1a)2Vc\F6 ~ O<'7tIKm9H8 PsIAG(kAqqBDaYNth ^Ӻ?>^gScr:C9փ࡝Wٔ\ϱ[@-J́l{>zc =s>6k{eFT1F4ݡ>Iq !|OdO1c>!uX~un[N H:@EΝޓS\K'5)XϪS$iotW]=pu/>gw+^FMhĬTI^2%$8&gMOܜx 1O'>'PEOUP5.07X9^L|bxhJgևwv"c2fݛku,q.`1 l hFXD݁?kҺ'|ns"ܶ2ܬȝ-͋@kgM謘 ">*3rŤ~Ï{wtϪuM`ۍc&9ğ4]*8e>yԩA*HNN27ouSv=7^RYvvU{}nux.8%-z8VZf/LFtY^4.t?u<7WC@.:~ ./ժc:A[]MsFQ7,ҙA}{99xb0=c@Y'7݉wm0>+[.-noA`h|W9Ӻ{uqhQ&^ujLF98Iwz]hi2B FV&n;i@TryRa6kfl@8xDE ݬ`[ybRX^a!Q>;> |8%*)1= [B`Q1$R˾a7FQ+ 5k:n9ó\ e&*svO;#x)cc'7|<:l{Xf;puUy]G#-ǮzĖٱBR"_G(>u9lv\XO+)F\ Ȑ("pRo=P^\ګ ]\!R'^ut[hbc]U>Ka[vvoeI$@!k.#AxүPOǃ 2{7SXt~аW1H .JApa3c;}` w~XVSuwmh0Mn)tcTX8X WK=PKI}S37&Ʊ4Ee]?t=+=k#;3ׇpD4^'LEv%[Y}׿_^1Zνկj& : V'Ifel5+Go$=4W',lS_FgW)y1޶=Qyɠ@&#i.~:&:,ˬ|:&3AX@TA<%M NdqLFI r^+1uW-u^ $>ԘcD{DDjOr/tVιOjl4[C|2<3>􎝆.ut=rW G~)q[k#^2L~ճkR"%Ï`"xӼ ILLJ5?r};'ac]Jo}cq xt\Ti4ϒuٓS gs~?ٹtMNhp3ۙPk'XVLDiTEGa jeXѓ'sUaH>ΎV)kO8eKOGUO7xxi.!}Hp6}.pK4D%yS'Y@zcZFKqCAZV/ޣP|C\ "|M0މe1$G?Ztm"lp\VM]cyRWDMcaGw7 \hNCq`I]N?Ou di8_6IFT icMfLp՛,Xk{vkMVzC2s`[wVKzns vJK@Hԅc"/K佉YHKXn?Y]G.7Jr}Ehx5C/p3Pmmf"`Em*c2:wAב+zG^]h&Lk'2z,ɳVjKI-$yy|w[]ⶨp{$1K:ս;#buQdhha ͘Ovmo໠S,Tv5]}YSvaYl0Hp5\}5H<x{Q@ֺ/W^S_ёEVetXP|8Fʻis!7 a2}z7Fܣ`<˒>3:wP ~XӠx;Unl#zl4G}v9[n48cSL?Q+ĩ3X,hC]O!%w"F&< )ylgMġDO?t|LxO >t;ؔ'(~O'(ּvOL CE2uO ؘi Ȟ3#0ңlL9Ej덲fu> f.>a\Mo8Me5\kY&i*hxeUןù@q-JA- G)/֖9扏F;ۮrŴQk2*`5.C!!H*NzsJ W❜ɥN]R(;7}x瘓n,&{]Nè<+Mp"ø1.ZWvNWQ6G:٭<S/Cn-$wa/C>VoD~Pc}S%tW31(!iou$2Wz՟(~UH֗ 3u,{3CTPkA?NdmsCLHBQP:0 t^Ȁi ]_6lplcp-? ϭӚ)sѴx{d:hʬQ{7h4_ImeVOEf5lvӦ8ϟ )W]woSދƶvCgK,[Imt1iVN?AOQ&kXZrÍ̍$kULF=ӣ_VY$p?Qǻ)}FZ"z!:HvH~;.gҰv Ϝ3iʧ"ޤGq!]Y&}ל[ϐ`ͼ7 lnYz O2擄HNc:xx'3?2񦝓0d k<']c kD|I^LHE=,f:DC'4+7uZ454Ѥ*,:rYrU:/{p7 pƱ->[s2m qh>~(%:OTs=^7[M[XH>gD;ri,8iR n&ɿ6b"* ۋDȎɤpkѮW.ѿ x-1d`"6S%1F%H)8:1Buw)0 #pRO^XGq4GscQ3(h#ݴu\C ^Z[D'*,B6NCsU{I :)c)!;V=ҥ.rE,ʞv45T_%szkegh5#@'s 3kK2#ysL8D|A~YIINno${ޕk6.@ӸK|8W:ͦ'm 9h1³SA&.8Y}?3 ѓQ`aCE eV5q ~c.UgӡunwLwbs uAMƊfNa<pF{nΪu}!B.ߥdWGߤOID$6lFQ^N«\ $,޵Ѩ. X +JަJJz&Fk_n98DgFN6qDyskn0U^=is ZOĬއ^Sx,;y 0s5mjf5 quSutXVE&p{v%du,Lug4+Dz`JJ>ѭ=  v=Qj#V-~[a}]ֹkuպ-/{&I ug̺s2qmg5 3%vE"@]֌l2uyehl˗JUmL"OGG4'mu:fl7cdܮN DrF?N4Rb^}Y2dp֔)L|R$Nx(uS/ {%|{~ROAGu>i+\|<FMG}V5RlɳF6;Or+xӇѿ6ŵjgXsz+ǫJ1e]a}uȩg?~W@=dfYka,:ɒ~.B|ԠDj {x&VlР\4KBBR|4RN9Jdv&5M|Ȫ+"ٸ飉>hP5`SR u)'A"SH">*`H%1kG Ml$iuB~;]^G E'ǔu0i1quu O=Ha<mWEF-x2K˳5nrJUǻg-#ơtyriÃJnsL]us=r~H7 ;_n޴[ӱ5Imv womc9G3jH%' kT㧇) \4v\9Ӓ$馩 ߒ\jzPT: J| d@nF+zVX3G;SߛDc[X `*=Sf>kX>ٍ ׺9/L~_yH*k}O~ Ri64RSmǏKt='ݠ<"5*q$jSW1'@ZA>SNM1PR50$) L5GVcw<>M c)5k v %q3vUޕB_=ġ2$r|;]?`\qRԚ ,l?!Ÿ= q?$X|q~(auӹ8G ?=qwW[̮ qEb0c2'>=;&i2l.'<[]'5Un?+bLj2 tkO45y'hedky]:{5GJoܳzE:D>I'mA4txK.;7P`"emc>QJr-k^%;${ãqBƲ{24<y}+lu {lƷ-G;}ohqk DtLP&ψA*$M>՟S'>oohÌ&&9NܢUIL +R<ƣ/ <9 H4|OhmLj:vO>iG`>iDjJO[U2Ǵ>Ehc}c׶[eՍօ3/6Zi L骷s'Z+$S湯,{K\iЂ9D;8e}oYKx`iV"Cj ʊÝuKτ&;?rGH%2#)(I%S\ _ec~EtbPc\ pz >-˰/Eǯڭimth.T>zy_W}H>+쫭_3hújS|G[zE=/VϬq\^ZM$@̦ccmXƿ!7f=ʉ!zKzYOSi*^OV֊qJdWY@d׉މ!&[^K'V~~#GCS&ȊG3#klj{*. 4 ;Rrk >a6.sEŸ=*Qnxď \[A+"FZYy!0Tݡ-R|K5MhG#?d:57M(% OFGN`j+&gCƉ Ik!!Φ{l)5O^N f|m.:$[_)B} AD yE̬>@T}&kw5>Ρz aF%V ]UΝ3Z=C{0~tLl<'gPƺvN|x 䲘7V\U22u,[B6N@p{,VUSQtI0*G1^XOR> 2VB\D4=A{/cq,Ic@Exf?ڦ'CCRƼr$8iۻXTI'~E0O<J$@:e8S~>IM ӺJ\AwO( GtZ^<) HtB(2H|CD/."ZzakisOxDv[҅Rltj%]*]3ֶDjSާ`@"gVcwW2n}QƜbq5/yXvOOeyiY_/5ף'U+ڞZy ² ]2J6ʘlyRL+rqޑܙ\Hc oe zӾ<#ĒFU8f{U>jBpjrM8pהlk$FAy0"=e`o6?u2w%B`<#IL l4H5#u;Z7@LD>a,v2v ◙AJ`r}h$ƩR.F%6LLtFo#]r{Gc`:ߵcN5u7hB]KkkX.Itd#a;Ti?w;}3SZ}]݃GhBc*\$Ie862*b?ؘJA~jيW5Y=[;5pP'1IȘ4_% tד.5?ri;#D8>\Sd:RJ{k|Si芗Fkq-@ L *K6k[Fz*6(sL7jeeq:9xxPMq]Q kٙ@UB&3Mmw3Sg9gUȮ2rCD$|JIAJ@QJ\gh:KSƉ׺HXH?Jora& SF >)%.?s,!ܧ~#( "]UXӡ<$x>+,CZDwRkg%<MΧDWO H纟L+50>S>%[2<|ƉLx)n 䒗i?h'5NwI+7]g 1:ri#5#^G?SHb[HIJc Zxyl=4 &[)^]:5"-@ `X5otnWQ=:ʜpq==vi;N:} }D@ĸ%4qHܚZigv^iUNջQZuUV?fD\ǟ!KvLxT͞9A37RIYt ZѤ觙0m5l6 cQ#.3ߛS?5;ޘ:PePO]?Ypp~<0.3uL ;s{U2HL#G2=O3#2\nÀ HqRn!Tua$h>XiR5B =@ djLħ& c~21PSr03H' vk1R|JO uLR&'7oAKy)ΐOtĎRSQ#R<iDd8Ƿiop].UR<0/covgY\x-De' ={ϏKsؽ #:&g+Uq0B|g$)k:ɼRn!Ǵ$D'mMAC%K$6xԽw-~6<{145oh|`I 4JmƩi%xde t0&jA~JPӮ8ORyL <*iJe@{5)ti:E+mp*u fd]t"-n MVu#Iә)RhDclcnkc* | $|Ӵ;#AVQ/[0a^g~v7~I $[iA-mu<,fYvH9ę\75|3!Ν=PO?riq_(IZgPgce.|:{H- !|Kie3[T[΁=Klhߔ[p:*`y༦ޫhiN x+}V[hJ-*X8BRAOt s0T]l GyROvk6T q[ 4Vֱ3sA=5a`NsvAҩ}ND3D+Ym:*Dx13Dn2|Q"LI>I!~ /0Zk2u[T6"]Ykް^+SQZ8K|8O.-\y$I%+^&: )Of$/lx{y!\t|@Z 'hI3OQ;eV5#*S1Ig&OBA8Ԣ5QpO1u O$&p?0D5MH%%(ۓʗGIIT%;kqposQrq_IIH=C ]>)OmJÙ7HIU-.h{5 cHQ7}Ⅹ據CD jIri/<ѽG& {5?Fݴ%1캎{ 3]keWk >dU\wǐLhk}6$\TtT8GXPȣ32>1N3#+5A(hRh([w˲ !H(]u?;3|8.슽cet'%"ő{ Ko7S!漚c_#NQ58i>[kCܜDS !?MB#'M:#qzkLJNj'C<,LZ1>վckm[>}R_A%/)-'ZΩ&H)y%1=U>J6*uҶ|t!B6U.o揑u2tO?4G(wJNHk*{ĞT$r$ᣰP-7)ݓakD|PhRpx@JNt']Rakޤ BJM[5=-ψ 5JMm I*:J 5DĞVBeǍ cͦ[_WHc qQ,YtcP(mM4"&Ԗ7z$IM'6 >/4: @NTɱ;uL*imX\ B|՚ TAHV [kA`A2s6DRk43AEְ<@!3a@xr%8{&|,mn>jO(3(ð|Kg&V8[Q\| ߪ6+$;x%ge5=1㪎G!%h 9fƊt)ct"Y { j L<|ŗ>ptdW~伜ߋ34?%-R),~$h@B}>ΡV|xOmUDG)ZIbIU.s揓ؙq'txVT_5ư@}Ī2tQ[A";0O"|Ӥǚ}|Tk `[MP:@0HmH5L7n0R H#]'0HL ͿI]]F~ N}n H=)[֟|LIkH|‹}=L@`ɭ ZgR[Dl,s=N'M$za4itIyJ齕6SNѶv"82[@*/1'^I+K+u,,6dVHtąDu#qJ+0Kْ5IKK|CxT縂dkxii5ޔVIL9U>&p-?n>?*HJN6@8Yf$ievsNc*OVGFJ28JCiSku9+xvSkA ?Vrl9mrDwBFKh3#It vRhml"YP (wǂK|J5dq$,'lx' .sZB[3?4~ }$-4ȭ H2eXmO}t3=d$_VҩkA'DA#L ^gW;`[hKZJ +U{À{::>Dqg0QpnH b=hVQ|sz}KM!lcwvMl `kɩ{d0 w\([ݮophTeq ]imc8A$yOĭ.NwW~F;4@.2De@ њ$E6]hGE$dm#)dAM3&Z֎6vKZ"-o0~ⱜ<~)5л"py=,y*k%e>׸m'Ljtqw0#%nO*HF^ 5|(Ԣ{Qկokȍrˉc ƇȪ0qh'M\`]C"d&)XLDUփ $y/}?݋rX^O?9s=/BϠ~Bٞ+q '{x)B@ x0UOpʱ931q jI'D}OrhΦ{[<4q=/$i ?7Vjs2*;k.?*CCSRL/filY#zz7urEbtZ_=7݌GᵦAh4XvMa!17XNxj|_^\7v7 ~oc}8ʕUBjgsG:&'Jw։QõjRcG wTncuW]|w  3*:OP hpuW1#{=Ệ8ӔbחwM.'3Dv4c(e=ָV?R?]s~/K_HgFi ڜ炙_"TvW ѺWJm8iw }Z}Jg~KJ"rFXMh@>zxkIFU<=2&$Ǿc?rJeVT7 IoN$Xt3s4|o:s GDtMO{Ah2:`$_0y=?% n ~gò .ƼA, w#k\WtXپ뾧<|{RAN\!F@WDI룄@":uǪka2~]>ȓ/q+ojcNj@>2qrTkF]QCu~E0sĕD_/dWPxpL![O#th$P#NњAfǔԲI8Џ%&Ƿ0'Q%50032-M., ^:M< ۪|Y4a/t-: ~2 `kyO^a39Cis ɞȝ[2Si?KبdۍslGY6e\^\"~#GP}5RhMx$xL^&aݏL{{t#TDp!ΈCS\ s؂ yJ.ճ*i[Ë>KcvV l.sD>1uVdA7jpqɹ D¹ҭmYuӹ|U^qkZbB׫ϱCC\S*'-)gUѳedvIgUu> s~5F$'80LICN@wa$q-sxiߦF<I{F#?"(X<~?\t}k oȬ^1%wKjOKQ׎GCI+'yRz4TΠUvԩ6Zy"%E Jku 9GȺ >x6qA1\ f tg[kÉ_B[6b<1liS&:t`dd6q=D\t=di{PRf- 'O8;)5p ` A )CT@\Al bY rgOvn#@GG<ʃC LOd 68D%A5QBc)dReкyO"Z̶H;N:r& ?#ԟ%K Q[5I>RAKiR'7_L5{[m3a׺uO=-$oܬ"{Ϊu"s`4BI  "8A L&2/X}?^F'alkUCRj:rt!9s.y'U1q;Iۈ'Y=Ā @$6Az(#`q5ƶ6}=gADxwS1lq 5vBrOm+WB1H\KO$@#y>i0uӀe]BKv$@㲌+$uӔ W>d uq&#({ kCbf|RL=Jm3<+[u[k|AmAvH#qJ ("SY(n$jgcMN+iwJZtpIu50!+S{^*m޻m;`Gek[ͯloU]N6ghhIK`duc5\ZO?dxb xGs.,4vLn}#kp(mwSvQV/Eu\^qO.'#dzlW-";j^.~E$mldfYu&XBaRmBv#$N t& z"s AOt6x !S,Ѓ2@y$cְ{kRpqpc]$My>d~hRq N8g+=ƼFzo3>)Q5Zډ~p!% mg(l{t{]̄Gui/tw^d|%wHvYk;O#K6eЈRn荧N A"s)4Sg4kܧ!@e-kps_]~ ~)|BC%$IJ;So$p?k:z> gNRh: '̻QVo+LiA=¡=HDjCb4&xS!Q&00JVID2E6e8*'_h(dױ $)a vzL|^%A߸Fks$ʽ ρh}U3XsKw0Ry\6+]Mw,[ÜP*%];-NuXc!cߴC  A  w}w5#ܛqf55)vH{ Uԛ^`xpZ`+mkGIw" h$;V6pt8YWb1rm R wsnTP;('T^whdˀ<{Af[dƜ;F~U&w|qsGD!O0 x:$nN-S+#Olwݧ> L~z%[ܐI7o \Hi)F8:~TTc;'s}tCozc/5%:bf.s揓~a5@ jA$U,:vUd]:ubeM ^Ҧw;ߵ$vstF!F3ݨo*ѰxU0)`> Nl ՇHt@x@=xcA.d&w(Τ ;46wLGIs:̈́UٴE怒DhpױIHQh{LF{+=k[ʜַ y' axU=~pN#D=EEi{]c$v*CC=C]؏% *c $y˜ k0b/zm 28$ Ϥ tebIOC׸whD>XJ+7bfѴTDPkN {eSGk$5XE$(LA"nA:*ly tA.$s75͈1eLMrِ9%5<u\g-8˼<kJeCc@'FuOns'vv88 [:BYc@e!kt9;s]uyhI SŎ!Wײtc"03-eUYc=@U;]vѳW?}YX6ʲ,%;錏rOQ^;MHjwiySi y#dY%VuTِ4#5 s?4 蛙3ߝT`ONu& t1#9zl[#+pux$@]Z $BH)tNyMBtTL'~ W8u~O%7l[26U{Cj۸k 0Aq2Y} ~D AJӱFHIׇ'i>uS2}w;<u}Q)ĚVgp hUnE%VߦGrmvkַR.}c>ڮ{}6̄F=E؏uJt4ic0܁E&},-iOdiFB!t &tG.\ߤ$pU{*< ~(O pZQVNFC1Zk쏝{ O+cIn-cKd΢uעZKk+.Nv`U7$ ww3–6[UƓnmh۝0boY^<HUp-RHIs }!U&QsS2["DQ-N ͚5 Y );F@tA ظl`|aicXB]\YSHsxB" 6$+dF$"׵ռL{kA5Atu#5ezt\N-䝽mBb>Tk7s6Yי૕Lu &5Mkgot="Z>p_XN%j>s(|YNIuYNWAGȏT&E\KvFC--;t|82&cə!M%$ykI0')U:[^G̡[gC9/W A]$UY.'Dѭlr2秓bnEeZӭUsq:&v&XXu8CT:x7dQֻia0 >q=֙_D"t亻)4cr\9m.D7z>HDA|Ft'n#dD)&{pa9%h;(k*bNѯ:%$D(=YHMh$BAtc-˧Am0p>kdxILvơ'9`;“G>J[1`:ӺmEN t{ %?CԴ?4EXѭFU»;{igxVmh_ 2݀΀x]UsOՁ@ $ v[vtF!轶t&b~ 54p2qj$@ Zط"]- ^8QMDƸMnT9 C㫎{7m@0:v01zm`$i ׀ #OS] @Ђ4CLߺJeii;B^XQ.|m:!3ANl)(L00,->n#i1>!ÒSZ5$jjt> =.%|!%.KKa|P @;9R{ô B @G1$(8)FpS5XXrݖ'W?r%[ԁǏ MDR ~)' $@%-`iKO2Q+w&^'=s4|~aZf4k_"yXqQ wm8Sk}ӲNcCIQD5}:~EZ8@jͽ$. [/2ktIy6c8XǞĄnrz;Zfu>]q7ĒߗE$J.m$l#˞CLK*GIǮݏ[$-쫷 /{hܢxo[U:6E=8SMK B~ߤ'(˶+#8L?FF uਙ-ڂ99?zbLIhRȞTdLA1iy߂v۬9@ Xqvi!<;hfSiT@^x$WBiv=͈J+56{k-{%k bAR ܋  >'X@O0 L%VzmoUm-x#f 7{mg Bg+QS- `QD5Mp^߳}C2^`7V:̷}gc pSn)}VKCx)gR8QgX&D Ps|;o3I: nN"~%cDD KFiν;kO;S?%֡]?%6:8lj2r]kKikԐF\4gIXk9=DKLkLtCDvNvoq@ U"6VCD! ˷`& ѹ$k7h Kd8cu_̏gG1 ^<#+p9`x4T0JZƚ-bJI*Lv 4F|ʉ"4|S&81cDY"$xT/䟘eYn\#Uc\Faԋv-FPHlvE yXFj{w/E@$e8ItԞ C#^&|* Qk&cV:ĸ@'8k$Uٸ45kl. =isHpJ-ƭ4>iJD(DE6wV΢ZFUBNӧh-0OΧTFrCK|JT{ C@^cI&@ 4XC H/xt8p -[5Ѱu^Ey78HDh7Ag}]K~~[k6 ;tOץ%:đ𐚰84Z40 .%r=(V OoW{7&OFf%O.]1(|txdSN~d' $\"C]e]؛8j9+H""{Nk#q`򁢆B@7Gvh5V.[Q6= ri0c5^PRBpxZ71{[ t ' $F1;yYXDoqpoq>*Rg-Dlif:˝a7wUUd:9۴&I#yP葨A ~5(%۴)1O&;&VcZ:8x԰`-Z)6PFC+3q'`s] ( R\tДwYV$(g"@fi0 "te.w~>)YcO?WW.O0- HhUZeJW#+85pMՋ]u9G&9AuTejZ\Q2.V@|A5u׸ O*`41ʶD }iO%Ea0ǷZ>QZDs) ;ZRzZ?*iqqhATkvsC`{ a3CHu99cQ̨[ <JH8hը% 6W|;`{fePmOR8t"l f^zq5 97LƲmó-nGPM:Kc8o5A#Rpk1Gb.v=p7V\ܗ۪]RGWu%%}Ru=j74NLkm}ae ٴŽIuQhe$WFT\2 ΍Ħs;| k)ıA %5e6tZIn40`R^\[$n N8Wǂw:Gh|nO 4GaOl ޒ=/?gwH+6MqE+vnr5"I5;K] ӣHHK,-X*'>wO4(vp۷NDGUXõǗSs}εѰt(j(hGʲ\Y]274wV.~q#:0epN5Qx@T,#(=+`s 'BAՙLku-:%DGVksw!݊ˠςJD;J!s~-i|J^iy | &$ZDJbc@_(]뢝@I<%;;\K>E_˓3Ǭɉ?qK#Eyu;sD 7V. $|8Fh#npku|\1n&$s+5 \@]:L+M{;B ±$H[ѵNNyk'溏?av&HVe&#! m}U)pĝmaD8 di2Yw?9kYY:>K~6Ծjk 28HCQz锨r- s ?hiykgr\ѠKgtA8(DoYhI%$sl #RϏ`9*{M`>)x()+, k'kwd|p1FHpOmt 9 Zm߱ۯ%>3uK nCM+Rxm5\d VzI zD!Xwqiԝ~^Ͷ!'Y^J"BZͧf>$"vR.HH51{a2o55]"OJSuǃK0 3"XKOV9n35^n%:1OjVQzk@~kFb2Hx!TˮÐ&>3͍h%I> phIЊqݞ>Ѭꝶ<0xۦbD<ӵ55M\ Q-q=jlR/\cI|}By"9t|V9Ϟ*2v[T^GaTKk'K,t [j*6acZ`)x1k!d맓ggHm!lx++\ult`NbVlk@[׫1OvɏCu䉵@ma#ǿjkw$q>j!I#4f.uaR BH,$DiNBAN':[E:Ȯr';GTp]I%('4#RHx l3čgU edmCtRe`C@n;uA+ېlsq;iÞACbS=ց HQk4HHlDwS9$RxΒ8*MԟPSdLjk(nֽ`Gv,lֿۜiէ@QAُR÷kͭAUVzspT ::ʩmhWk|&# Km {^k!W⾃-:> X{gZi&-彇VD(c\a;Uu@V}5ZH$0$kv{vhZt3*Z2c$JcDDWfq1{)iSA'0gYMƤ)=kg l6[7sl4kEK>dn!0b"9Tkk̴F}Ȅqàj d >jD-?%Bn[nL{a#(dgj'Q/HƼwui;LV(ca%ac걕@{ ,54V7ZYc]@5K`22L-nuc I=ƲuIsOŤ"z]k^OXgcבuvQSsOM~\Vk0{u%8 I`Yct{u4u/immv cYVv!6`6uRecnBlDM} cb5-; > 5 48j&dA8mSƺ%kDܢ~o V{۞i<ߏ*`rhI$ʜHLH !z4;A><)IT7_˓)2$AN ` =\PZv`Ss Ω.c@~%#1h`NH:4h!u 29V}!;WX>bwLOfh<#65.i z=C3VApl9΃LV DDž .v)wHDvnwVJl o'+IiNND<_i@@A@)Fq)A^ɬ5kOtt!e~T%X7*wGc. g`@& >l/ۣݹr?m0,!/.en,i.(aaZ81 G a6x}VoW`v]|#υ'U 0X%@Zi5$w4  )x-.i'Bx%h3<>m?,ղ i2 Bt`[-6:̎T,kCAo'GO<ƾe3q}5(j_X=zŎleHt" F1;vmkou+hw[fǚZ!ĀccS9(ٻvG mJ}6= @Sni0TUS(%94D CWޅHAIJˀ_wu ~h}7bg%,۝f+el<;B$@d*BknFnukd 5_k{Px` nM;pek0"(uy9h# k]|;Ù#B5 l%ۻ@D@iy翊{*o> AH%-'Sy쓴rHX_kcO`nsk>kn' !s- B5 R@MXZ$IJǒsܛ)1/\ƣOZ=h].py Zŏ~'n!ZuL\cȺl i~TlF` vQEn$l@'l!c+m{= $uZ 5Nkky|E$MJ_fa$nvq43HYI8LݱH`)H 1EKHHO )o-;:I0;T_` Ԓ>k#0%5䵿;Hx!s- 2#OJ~iwj8 $#JWM΀jTTObq&Goyz矽Xvh̘ȃ:QPGw`Hw*zh\LѤ|Tln"L;,ьxhUq0`OYk$ɱ.~^hN۹tS̫ Km;42$p[qE* h>jhkr.5C tV:.{k"\7nӁ J#R:9 r@<[otHt)&dlADp4$g'&[  u\K\ {kkvhN&tLt))j)l ̈́XiQ.ijeuNZc1rs+]s.YV9-Ad-.D9!*oH:\,%iB3 C+]C?6\B\`{ޞJ"BZͤ{wL8Bi&L ݊Cq>^=l {̉n :hK>=J\%Hya  R}K|Gu|WQCj iӣoL1X%탸rO5ROV]\#E +c@p:-}S&F5ƙq=HCBNT-@{XHu3$Ot:DV Dp>SjE]c׍{)\!G׬6IL,kKK  +\O_ ڜec(rr1]sF߈iR>?ϗ5~7Kai4skCYRqFk ?͗tsX-Eolψ[UuۇLN icȗ{* {)Xz1{_@`ԞP]L+ ȥZ~(< 1Qi~^;yp'MQoD*H9$(V~;OQcOraQ5ޝ.!K'Fcྲ=COwVFQdy褀/3`AA!6Cv_|=oseX5tV[!#P 265]=XG;ART4 JoVqAkHam}ęxK`qu%#ho]Gݭ?rtn&=B~CHarݤ;F${$md|?)QsZO A۹H(eФ>? Q\ ߹v"n Cm,Σk+@ЕʍrO'-GM+S7ocB?*'4AL vpgDYCtF<_Fq@Ъș~!yԳT7lh{u^v,iӼ|{+}#;6CͼI׳GdE7=A7QF5I4 KqW:U7gX{a{8'_n%ϡ[I࢈ѤuͲF:p3tqK&ˠpQ:y&.P Faxl 8#D$.>ƉH:ݾ(z/-kF$f>?c^@nJԀ$vU2IЕ=Z],5B~A`=R3" I]e'_m/Xm E -##G 5NͻC.IQy'=J`P@2[ |{Ok:k:|JRvKRV&e$h);IQR u Ŷ=ik!WcJ̙ Ձsgsu~O0Y"hĢT ~RHL}!v~8.NYc/Y8:v[2Lt& 赼6ƃo&'D51f'GR-ȣu'C&wU'1k{>+kZ$4d )6HDOdIH@m=exTj 2 -1wECy.)Y:Ρ tQ j$wO6XƜPmlC\׏N $hTf;k>nC/ȯmOdע݆`ߴl Z94܉ʏ_8XP 8qj;lsC#@PыkfkG H@X&K{*tu-?ݚlk BpllLY-RkA|_mnƴ$08YHdQv@v[dq33ʍfRJ+cQ^ 9hf4cn)@t$tAAnvEsKgUs[zkT9P 0 x|aLB9@WS;۟s֗qėNy?F piHX2KjR=|?C4GޜX'$h;z=xWc>S?9lo.&>ۋsiCf=Ae!D_GWuu;ѻ]Uq>C'#.%F&-̼#CRD7~ \DdžU_VZkMb&J^AstyTmc峣e9c깹=LM=^`F`Dy "CyVZ{92gg1NvMc'Lk#O5%1a"\;|DOr텗տtNY_Y +| ҞM)GiI/ $!"O!Rxd#_t~ ;'VNQۣ^Åa*2U N6Dā"cDl0{wFeVI'BPZ ?vDA6;“%D%uRkCcY Srʷ "L!1&q`fTKXAs%f&۸D ټ#\I{ȼeȯM'Cg:|Uv ٪sju/81W[e~A#bXC܁[b(Z׆̀Fh*Cxȱptm}N| y9N-ΨZӡrgP{+qA#},[2?ײz>IME [h|!di'YƊX7:H:iJ~]f8$EN> 9 ="x15=4 &dA&.bk~=-kwnyڙ"|a%3n#PE`d !=ވin< Ki@'$uSXؐNtb@䊘24@wE + IWM g:ypcǍN>+" 8q|y>*4Y-v@.>c7#s_2K,?f\+97:k(T  +sc>⁵F wvjt|}kvB{x?Ẍ1ܓak !ԭ^ap!+,qcIT,"tH ezQ&3GP\5ܢ>>)wH> A#NQC㨁z#,egINJ:| ()N?$+QQ>vvKA1*eYֆ{jk , mw$D$"IJ5uy2NI'N{…:ˉiQ.mbHpۨw+FtJ5=ϒ'@IHfw m!'AF$a{Pi{mB{g##2蝲 QY,̮ -O=G9Կs OmS#U$ .F%MƩ{hu>26\<[ ܧ3!~+c/5 @hh`32AO$4OS;O>J55ShΉ1vƺi$q2S< \ÉQ:k`$xc?Ku-'TDI@믣`3QhL˼Pso3:-cKA[DGBvӺ ׳oS1HkF$% Ml|4i<*07I5R=I(.l&vôyG4ttMB)^MɦSG4P)R+it=s ݍc-[[|*fcFQ?V/ϭml -eWTgmU]9Z->K7`3#`_m|DQ:P< ~Q@'I<>.c{ļ"N?KZ I)?RvNbx)LdAK \6!OV`Bkmu>UEz.6n#ȵ:~ P )4;nx!oyQ[;~ NO%H_4/3۸EkF<~nJ :7S~c*&pzouv=ޙ݇klIkN׍Vv(unF |G^k?I{ڒڱ$tFMmkˀtj@'V}/1cCN; 7τ ?kd7M5K+m2 ƳP_2>@4#6ž'>҇ 1S6 i'QQѧÙQ 3)N4ₖk:Lœ;hx'`ñ PFV̧kG?.9*U\ǂq 5$8?(OS"l$.5w ji/:Ud ;6<8aȪۃgJqAol`e"'O2U1o@s*!R潵D5X&K!g4*e .lpLQ.?쵻@thq4Z4FQ6,CYu!;88}ȩ;ę?2mI *M$Q5FH;Qx$X3+`f3dogʹ:| -a(?Hy}H;-GyII)~xKM;?RMw:vs~:6;@T )`:ky'O%ZGRhv*ug@9:ˤ=wxbgtI pܫYcyxh-?J BI ޑѳ:n< ʟ.;kϸz/WIM AYe׍5}iYslk;;zk}MXmtWO_K^E>֖7+\fuc ֒H'|V[kd>a)KQH'q[Vn7[X,=Ǻ_SOGL;Oja $k<)#f#&x&ncH +&Lùc;O*t%Jd7IH2_nx%Je"~-C6<8r vPo}_ĦCZǟkw`Zڙ[^+:iqkYǸ xBĦ6/K?b"GZjsE~6IcrY]U <9*WTX LC+*6-"Wf9e`}aYl59vA[p5saxmcHL6"Ek w~1y'H._de@vM\ SA鈏8 .3m Ügh4fH l=ukD=uRWIOϚ.Iy b|RR1l{@$E .qk.#IIޛtIHˀ5N\t2$V{%-yI _ }EmVOVe\?>M >GOwe* |RMJ^{>;Ob;]- #JS~dr0 Z*bwKAsߡu>OQ7n34nsZ]{4> 퍉Q.P AiE38OAbݷ$'_Kip#\_$ˀE&Hʆ6?3]dbp u;Nɞ)7D8.DH=( x_ufp>-$:<;d>I @IL#>f7~hM$<ޡBz;"+M iB@Lz,ȵiR _:)f鏔- }$GhPhJ覶v\XO'3FQ˼?io-+2qk,^߅cէ ڻ1g85`ǕZyN 'yL?/U8h %3AN@J I#_mYxVU}8'Q h;vRgQ>:ڎY쿨_}gc4I'HlsCrD<5[?wR~)Uҩ;V^I-`;=W6LNJRi=II[dhJp.ȵ%ߝ(FӪgԵ A:pà|Sͭ\r%k 6.? :j|3H;,: !S4tIKsL5Yt(S”>P*f>K#47󎰚 F< fq=)@PC2 cIȤQ~3۷괄8: ;OY?Gi*!h|Sh5M)Jn5ZKI%n4O R9RZZ i;PkNT )CYf}ϊ/0-&sAGy`UA@F꘰2vFiSRt6 i˚}S5Ku]dxAI(_u*f:G1aS3NxO%.٘Ru$(F ŎAJ>`:˷ c |ʍm$4{G۲*fF1Y܏׺dkwG~bh$w˦͞D{yC~oU͖jƞOzp:2LpcHv}#❭xu临p'TT&E6 vA6"`&^7O[4^< h,: !QHRM"@Yn'HAh 'NGނݤ)h#Tķ" 4tIpۜ;| H8Ji M N %#KR~+MTyiR唒R Sh!T@:-OOf G+4--`HTt}YqC#ᮾ(Ʋf BT 8n#,;ܳzecm7i2@et;oN\Du iNy1 #fwRCIj$–H"4 a'%L} jT8 gR.:gt!inP|;'.x|OI) \%[1c }xI, ϒq=I}FXGhIK{Ckbpd*R p2FuSQpx+nY-xG#sۤ+c,OiOIG4,@{;2H˓iَ4_Yo ʧc#^].$*INf  CKIFf-B=( ( i-:L0S0d*$0uH븞=4nyIJݠ48<ďQ;țYRl.A*'HYOYG\8Z/>;-gHW9y${;⦰w?oE ')3ޜG%(Zx.>\Vbamo| ~>`6q 1/= 6vf;eeC wp??&A{$]#A A xM)ON $~qΞ 5@A*~:>($CJ` S&u""#<}p$ܔT\u4N5:yH:)EK{As ~Jfg^Ryy؞,K !8PLbdk=zf 1hvɋD4mf$ [r=!@~q&yN i2Jdl'ݤ#ئ>> SXtI)c kےTN@m:AHRq#dw&)y!t\60sJf t{j8<ħ;HIK4 NMe-HIKH5|6ȑ>)쵤[qAL'|SLrO $HJ̹F˅ |;(*3BI:j|8Y:W,c41{OeGI|VW2uKp2xjn)  )-$jH$=4Oucn=U*5 "<[W:y <'H OdǝBPIjYdMYhf@;{|Id )5l18)]ch#kL:Gl tR#C8ǍfLΆ쒗`2A- ^uO(N+9 YI: Y"zhtbeHEF ']~C@fACON5a/-GhbqdRk%98GSȅnOO ڿFAdܚ[Ek;Lp#˺'G-m7]Ve <<[ϽkB҃E0-tX~H ?E,dj5 @.wO_d\k+Ը{c-ō-uLQјx+[<8 va$ZPidqvA'*ד?ޖ51pc>J\:Du H*DO)&٩$2L)#u`bgOv5@Ss[$v(V<=yи@]Ԟ$iV:r 5|:'Xڄc|Tdj$t4`h*[{Z1+$ (ߕÇqf>}rF ) h?4BC( %$ :p -P"?X KwsI؝ :-K[P"f9 I~Q<"'xi-IKƪZ $bh h$ptp>a%2p'BWH RO`k'mZ)`N)fS߲vKh>IEe3hi:HF37<՟||<V_/tG̨f_kal&u>b8텷0ú;Xeov5_i0t5z_6=XƀΝըB"2ONImcu д@I\DE>R26TtL^wa$NF:MgVDXYWhD b G|B/hm.!$ `pmA 0Hm!q @纘|IMI%bT7t:$^؁2*:qkfP;ډJliOĦy 2Yc=hP馅*RG8:|'-Ixt|p RQkn#,kxo 5.iJ8L] Oc VցIQNEc hZ )0Î@V|l3)Unnt3?5oWmiKbG٣UHZB<ȕ~TI =RJ OtBqGޖ?IX> Jc%( [eq?/ O/~x -~01{#ٳg82M'ǒaN_K.cGA4'V9h^Q#-snoB\|xQsL cIJi x: t(eHˈO1מtQy'M>䔐 MtC \>h);IDuX'D}dVցA |RSjߡsƚ@ /ul<鮲xZ21cT~GFFmUHG$7pos+҃Zlc-sEm. ;-+GT9k^[wkJ3Rke(yI湡2II$%,Ljx^q2[`!i~ZMM o*tHQf# kr2KnXXYq9 oGܻ:651~ʳW~c>LUWgréFFA@LN֐<42؎J}N7jOApBO?0DZ{ˤ p*/K{|'E #X%Op*Os7D3ᢉm Ji7$捛@ԈCvْ{J#P]^4Skutk>DxUe2Jutr`'V3jGtyӰ ߑkkuUQ=G4mV;mm_qP=vrx6i>p HV\v <8xJQI%-m y9MZOJ\Hk:&:%J_vRc<{B]S۬kx0'D./v qǿ߸ ʹٲƇDwÁ*7<ݎCs:7$N 1gJO`k'OEG537:Ht`HƳGS$0A1JbؘSvGۤ@L|y )yRnGC`0HRV8MŁJsAﮢQH$RaAn ӛԚa,\K-;PYn~+wvw! pK8@[^Ix-hx~r]\2mJ(ͲE$`~j[YqP4=4!95UOhw 'QR[=,>,\Nk@a2<a;F>\)uE70K?Mx?ߠ.VkO款V͍pN'E7:}ߓp/2|~_܆ߝ/< *}sV/pl"(8V nsӼwveޕYs Sڽ1s@Lw;_ "+ U3ϋ X_!Ìr O9tYA.J]TAhD5%Hew)iƼQsB4I.Lu>?maZBI v; B$[ԑ ->u#϶HxPsSE5E`tr;(%eO];G<"bƏXˋy.*46\E Z:Yw_m/{rPhĔ*p'H% N ~ |M $#BBp~i%P$D5RB'ﮩ-~I)r'C.cqr] 4HEF$ٮ c/ii:uO}+x]>>Zcsvq%fs8H5t*FکWMOn{wBЄ&>:iʽ7A-yQɐ;;Cvqb- 655D)f 1<1 PX$j;~ %Saw٢o gp.Wps@2bGon@_W4[+롷Y=鹔 }R5_^1c عb5!tq{cqgpA+?%gYǵp8jRKCp-pD- y1 2cw_6okƆt3)cwHI 8 َ8YWcc@ʎ?]B7=g|PtoSδ`4xS'3/@ŇWkԹ_`γq-./7$7 q}byj$cRH>eaaq' a^,򙪽]>I2x e-&'Pƞ FkTGjp<6Tws Hx(R!m`xOw4":YQF-[k,l1*lf=ke{&-VyӭϹ~c<\M=~I)Z'h?)!Q:xf6*tߧI}Pnlt]tPt[MB8' ĸ""Gw'tSDkN V4)n[x<|J&#%KOLK UBIm.GEXchD|BZW}uRk`N'aZGrc%*ܤF`.oi墳F/Yi^lZ6fۣFPOiMfEh"?Goły* Zx?ykmeظ}&Ǿ;4sƯZ)$'~)~Tp7y2t)SN@N54aI &{)JZQ( <P< Jl}COj1S6pAgk'C2 E᳃ˈxb}.?xu'RG,6\摸oWQjqfR?/S~ IGA>jTp˻OV>걉n FBtA$GyPB=F:v5 Zp'SXȞ'P?Yizz{H">i٩ӕ3Qƾ^i5H JbYPu h F#@g(5P}QX%hcZu|URݡhQ8@;&Sôi`dx+Ncvw=.uoa$x幇 ljзyص{'omٍ GJWWO}SVCO ǚkao/M;'YaՉ0E;Y}_ctⱩ^,.<gܵsx1o+RڮR˘I1a58c >Oae>-quqCʹ5پ#&G-zh{K =G|?uP>2\O%;i1<+-2IC!&$JwH8ϒn<ӏ1')y▼'A(\#)imyRRb{$I)QymsedL (z ;Hϻx)iLp,.ٲ: iMgX{e }K]d;(Pm2ݎwnMX<|g??d55?ݢ "yX&|N/0R~ T5Oo{w7~%1ID9_OL[{TNI׆;n%u)@RG7/f?(W#n{i5G̪wgdHU))6jsdH]%/4ǏJgTKI\|xJ8Hq䐉LjI :rdpW2-'6L>K)O><$ CI%+I kKN%$x% y)!\%x%L hRRXdn@$O~R>)BJ\|S"RݼH:DO)%Z):II O{wGI_J xt-JH_g>i&F4S endstream endobj 402 0 obj << /Filter /FlateDecode /Length 5244 >> stream x\ْF}gDBf-ִez,ݡ(Q=dsn&@\JDT(BD&znPiwGϿn}c\hT6)j:ӡkE JUmմ!Vw3g`]bZ[)b7ɷJtlZ/gRxUYM*[-W3 f?}|[۹jL]=_ r<lM/nvu#Փˋ*W^mnoǷ۹nߗ_C0XkxiQ6 ÇoW7\mnHlVB6ƣXWm2LSn^҅MLT h:, /ѿ̫ZݾuT۾tr{tY@ g)"YS81s|oT}w!?kt~Z<^v#m}76y@Z\>!iجeiAC!l}J>zzz}6z컞AO[d{^%G/W@'WnYU[zdՎBݭAx LUӦPL7#ozOABscZK VҍCƀ nGCVza8WRn1T j1h5g2-0}+^I+!4ډS۳@ܫ4C45m*9N} )4Vy4]MjqaXۅcȈ2?W(r\4˧Z$a>jwT؈/,^/pAD+JBNQ*O+>UhV]#TAMZɍ,!X?II;KԈRh{W~FI;'G(y!2wä.QpKV" ;G,hTWL]β!<-)aA:ZݑWD.%r;B̙δTFFa56ޖ; 1Ծn>Okt&:efa#B j z@ѓ]M2|0)ci-DR{׮@*dZSЫ kH{.lXt,%YMbE XaJ:c(4$RNҒȄ|J;ԝM,Dh P"ߤMjSh2I*b=隭Z(ds aSd~Ѳ;E^qsbl`cƹYD"-7ˊNKc@$ 2? sI#4QS0")[@sĖ0L)m(VEB&v7DJG8,cb'\pxS,283э ->]Mf4V 3Ck\i 4@,QTe#e(bYcBWF7m{JIFa˲ff;HT -LG_)PU1byIl;@JԳo.u '/zQIAcYbN bY5կ[__eqoonߗGUSzVV3q*i]a{ {m;kYqd&o`hL 8EJ\y^mHM"j1wvڻ鴲R͐õUJciTsW!L: ccq)njQ'262ceYel(<+sʬ ]%uSq˜Q8hu`~QMar:FqPX$J9ܮ2)٧lIXWRn5d1c kdȄDU)'ҌdSa ;, ײ4q[_«K2T/ M]i]:բeKmGRaMPUvxX6ӭg&ÂFc2cZ08m`>Y^j5uL՗2xaXd|r R^ki#bM Ćn ֕xw_'VٰbDV |!pdƣ9qܪ4X¤-4%=*2_0q`ҬLuIl\oӲ\%(BD%_CfyB[VR\o<C'7;aS26qg)m옷eHbNbB6wՋkc.]OqQXc o/g:+j]m}]zstG]R_ovGhɺ-Y'Ǵp\Z*Wo^3z̡غno }?q\ۖ٪E^5@l|'GRŁJ_Zbé\E59̑rGbS? eC~Bʴ|r+g`42UE*{Lc(/_Ⱈ|%~29P(SL>[ o71m:e?2^t]>w>L:d?RO(Di#i];!eڬT -%Bj*WUcam{Db {|:ϖ3啜pGuc̡Dc:ʶhBD91qVڍn99ݞ$lϴ;Խ|}/_x칝>_5.wuԞm1G Ţ>Ʊ-swZ DPڍ>ІvCAv!LtQa= LsZc p}tJ՟9 ٰu4'}kU+ݨcg䱜; m7˕Xn)C ɴWu_c#GDu?6z-w5:\?y Ž/w=[4Ϩb~Zʥ(R] Ro[Pڟ|(|@翣u4<|uw=eOA:ӄlMF14q 43i9[pS'Pq@΁t<\ c%@0iԝ0и%xO‰f͌;i]>6`:29(!QBgBԏ8C1O±|4!aFHRcӚZNkfN[K 'PF(U#{Pj(5#mt.'1̂?&#8VLFԝ񴆗ұE[̢޴3x~o(ilQ:PtQ( ^is{RDRX÷$7i8Ƴx6!ABcA>psڃa\8$% ½9-@ 5{1'FnQ3ctȹ'=8,UuYu!jOCL=H׆r#VitfKgߛL8{ kwkwb?a*q"aR8h쮝\>tm珻j1MiΔv#˴qJs磍@:X85#lܹ1Ni ÑُTD4} LkAjRVHm5;NmfSl ۺ;"?D*^N,'[}wf$/R3p$Eqx&u>99W ȓRёH3pfn QVɑTnݝ=V{`:~$D8,iѽ;7ݻÄ 1D`r!P.ĦT>+EMÿ\Eѽoyy_`'=GZbH =䈓FqO)3Ԕ|B(?f:?1T!M*8Tš / 7ZS"?QU'q֠tY3< ]u*1"qUkʠ|1Ѳ4P iכhJE|ܒͿ$#/Bq`sW ƳPN6*LiD0Btɕs--WNx/N'g*`Jv8/Ya#LT&uSfT@o*|jV\͊݃'LYwe3\kxP.kqbnNQ~&7w~a6 YyxR; lʨ˞ӛsvokYfPs^ endstream endobj 403 0 obj << /Length 42032 /Subtype /XML /Type /Metadata >> stream application/postscript For use with FigureWide tables used in Xilinx FrameMaker document templates. Graphic_Template_FigureWide_102110 Adobe Illustrator CS5 2012-06-23T14:11:46-07:00 2012-06-23T14:11:46-07:00 2012-06-23T14:11:46-07:00 256 192 JPEG /9j/4AAQSkZJRgABAgEASABIAAD/7QAsUGhvdG9zaG9wIDMuMAA4QklNA+0AAAAAABAASAAAAAEA AQBIAAAAAQAB/+4ADkFkb2JlAGTAAAAAAf/bAIQABgQEBAUEBgUFBgkGBQYJCwgGBggLDAoKCwoK DBAMDAwMDAwQDA4PEA8ODBMTFBQTExwbGxscHx8fHx8fHx8fHwEHBwcNDA0YEBAYGhURFRofHx8f Hx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8f/8AAEQgAwAEAAwER AAIRAQMRAf/EAaIAAAAHAQEBAQEAAAAAAAAAAAQFAwIGAQAHCAkKCwEAAgIDAQEBAQEAAAAAAAAA AQACAwQFBgcICQoLEAACAQMDAgQCBgcDBAIGAnMBAgMRBAAFIRIxQVEGE2EicYEUMpGhBxWxQiPB UtHhMxZi8CRygvElQzRTkqKyY3PCNUQnk6OzNhdUZHTD0uIIJoMJChgZhJRFRqS0VtNVKBry4/PE 1OT0ZXWFlaW1xdXl9WZ2hpamtsbW5vY3R1dnd4eXp7fH1+f3OEhYaHiImKi4yNjo+Ck5SVlpeYmZ qbnJ2en5KjpKWmp6ipqqusra6voRAAICAQIDBQUEBQYECAMDbQEAAhEDBCESMUEFURNhIgZxgZEy obHwFMHR4SNCFVJicvEzJDRDghaSUyWiY7LCB3PSNeJEgxdUkwgJChgZJjZFGidkdFU38qOzwygp 0+PzhJSktMTU5PRldYWVpbXF1eX1RlZmdoaWprbG1ub2R1dnd4eXp7fH1+f3OEhYaHiImKi4yNjo +DlJWWl5iZmpucnZ6fkqOkpaanqKmqq6ytrq+v/aAAwDAQACEQMRAD8A9U4q7FUk88xW8vknzBFc zfV7aTTbxZ7jiX9NGgcM/EbniN6DCGvKAYEHufKd95V/LsLq4s9RmlhtYLeeG9Cx8VHol2X05Jop HedqUolFYgbZcJS7h+Pg6iWHELon8fHqwsweUa73l/T/AJhYf+yjI3PuHz/Y44EO8/L9rfpeT6f7 2X//AEiw/wDZRgvJ3R+Z/Umod8vkP1tCLyjt/pl/Xv8A6LD/ANlGN5O6PzP6kcMO8/IfrZb5f8t+ RZLKzlne4v7jUJZfqtuoiEvCKCXkrxJdJxpJwYcmBOwFQThEpda+f7HJx4cdA7m/x3ojXfLPkK10 zV7uP1Y4EnYadcRFJaUuShgFbo+o6p4ovwDnU1FXil5fP9i5MOMRPP3/AB97CjF5R2/0q/p/zDQ/ 9lGC8ncPmf1ONUO8/Ifra9LykN/rV/T/AJhof+yjG8ncPmf1LUO8/L9qY6DZaDPqcMdjLcST15CS 5tyEj4DkGVbeZnZuQAHbKs05xjZoe4/rDPGIcQrc+f7CyzRtJ83Wmm291pqaZaW2tBbb0w8qlhKr bOGPZZiOQqRXbJZJgHeUvs/U5+m0uScbgIUR5vpv8uBqX+CdLOpyJLfsjtcSRlmVmaVzWr/F0O9c DnYwQN+bJMWbsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVSzzQsb+WdXWSA3UbW VwHtgFJlBiasdGKr8XTc0xtaB2PJ8s+ePKdjpkk139QhsUutNUwW3AsY55LglalA8Qf6vsSHpyxl lJIHV02txRxkkAcNbe95Mw/sGZTgBfa25uLqGAMqGZ1jDsaKORAqT2G+AlsjGzTMZPLHlaPVLmwC XsnoWd4/qGaJHW6sUmeT1IzC1FcRrRQxpX7ROwjbmHTwHfySPXdGtLG00ua39fnqEIuCJuIAG0ZU U8ZUkYV/YKd64205MQiBXVPdB8kaXqUGmtNJdQiW8trO7uE4PDI14pdFgJCcHjBUSBuXUsOnEkSb I6YEBKdO0XR5dPsby7mnUSah9WvwiqQlsFVy8f2mL0LdRTp1w20xxChfelWq2sdtfzRRV9CoeCrc z6UgDx1bjHU8GFfhHyGFrnHhkQnPkD0T5gjSSQxBkb4w5j3G9KhkPb+bMTVmoJxQ4ph6XL+ZHlmH y15bhu7K2vJYDGzhVsZTCiCjgJcOrxvX7PtjLGRy3d7pdRDgFmtuj6M8n6jBqPlqwvYCpimjJX0y jLsxWlYyybU/ZNMNU3CQO4TjFXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYqxK/8067q OsXWi+UbW3mfT3EWq61fM/1O2mKh/QjjjpJczKrAuisirUVfl8OKrZo/zV05Dcpc6T5hVTyk09ba bS5mHcQztc3sdf5VkQe7jqFVS88yJr35e6zqWiLILxbK9iFpKPTngvYYnVreZCfgkSQUO9O4JBBx q9kGRjuN6fLHmW+8xS3sq3unSWc8WllZkecMzKbh5WmDKoFObkcTXYEVrk5xiOHfq6PUmU5kkUeF gBBJzIpxLVLayuLhisEbOQKtxBIA8Sew9zkSREWWyEZTNRFlM00y6k4vc3gTiPTCAtI4TwHH4ab9 OWa3L2pijsLk7rD2JqJi5ER9/P7Fb9BwygK99IQD8IaOoGwH8/gBmOe2Y9I/a5X+h2Z55Ps/ajl8 utDGjRa2UWIn0VUMOJ7leLmnU5D+Wo/zC2f6HMgG2X7P2oGXR9WI4W919YT1PUWMOwYuf2+LU398 ycfa2GX1en3uHm7C1MB6Tx+7n9qU3drexO7XUcgdqku4O5ruanrvm0jISFjcOjyQlGREgQU48kV/ TkbCpKowASNpCa/5MZV/uP4Zj6sehGOdSH6r+56/beWLfTPLugG30Wz1SR+DXE4TT42YD7Ss14vq sWPQimA5JEWHfafTYxEcQ3e+eUbKOy8t2FtHDHbpHHtDCqLGvJixCiKid/2cbtuAA2Cb4pdirsVd irsVYprHmzVptbl8u+VbKO91S2VH1K/u2ZLGyEg5IkhQM8szr8SxJT4SCzKCKqqbW35sWafWF1HR dYYfFJp5srnTuX+TFc/Wr3h/s4m+YxVOPLHma01+xkniiktLu1lNtqWnXACz2tyoDNFIASPssGVl JVlIZSQRiqaySRxRtJIwSNAWd2ICqoFSST0AxViTfmNa37vF5V0y78ysh4m7tAkOnhh1/wBNuGjh k/54eofbFV4uvzUuPiGnaJp6ncI95dXb07BuNtbKD8ifpxVd/wAhU/7Uf/T5irv+Qqf9qP8A6fMV Wtd/mpb/ABHTdF1Be6R3t1aP/sedtcqx+bL/AFVaT8xbOzlSDzRp135akchVub0RyWLMTQAXtu0s CVPQSsjHwxVliOjorowZGAKsDUEHcEEYq3irsVef+SNc0/QPyU0/zLefYi0k6zqhGzPdTIbq7r35 tO7jxriryv8A5x21bzBo3niax8xWd7Zy+fLN9aSW+REWbUYpXlm+rcXc+mbadD8VG+HoMVep3CwW /mf8woIp/qtrNo1hfXUy0UR3csd7byy1ZWXkLe0gJqp6CuApjzeKefp9KEkcUKN650hna7m+JpF+ tzFm4Rqi1kYFw1AKGtNhgkD6e63S9pVxmufD+l5XZ2URgee8EgDilpEo4mQmo58mBARSN9t+g70O q1gxDzbOzuzDqDZ2iEfJJNccAxCxx7RwoAsajvxUePc9c5rPqZZDci9rp9LDFGoikVb2rMyih+Ig AAZjuUAzbyf5FuPMMogthJOwHKWSIgogI2DMR/HfpmVg0xm15c0YDcq/nH8vbvy4rtLDMFX41lah joAK/GFHT8Mlm0koIxaiM+RYXJFIHIVa8RU1r0HX9eYRDeppdTpUfaQ7GNxyQgmtCpqCPY5ZhzSx S4omi0Z8EMseGYsKcHp6RdJq+nJIzx8xPZo0ilVZWPNJE+IIo2PI19zXbosGqGphwnabxvaHZh00 uONmH3KEn5jea10/T7C0uVs7fTiGiEaKS7KAAzl+fYdqDM6eGMubi6ftHJijwin15+UGp3Gqflvo l/chRPPFI0gjHFaiZxUDelaZXIUXZYMhnASPVmOBtdirsVdirsVeZaciH8mfNF4d7y/j1+41B/2j c+rcxurf8YvTEQ9lGKvG/wAgvqMXn/yV+h5NNuPW0a4TWl0KO6hkhb6tG6NrBleWKR/UUqOHH94a 0pTFXu+oarpWgfmLqmpXU62ti2gR3WsSE/Cptbl0t3KipZ3WWRBQVPELvtirdp5e1Lzk66n5uiaD Q2IfTfKb0C8Bus2p0JE0rfaEH93H35NuFWbxxxxRrHGoSNAFRFACqoFAAB0AxVdirsVdirsVWzQx TRPDMiyRSKUkjcBlZWFCrA7EEYqwe50PUfJLNqXleKS68uKS+peVk+Iwod3n0wE/Ay9WtvsMPscW +0qzDS9U0/VdOttS06dLqxvI1mtriM1V0cVBGKorFWDQwa75Ju7pLLTptZ8pXU0l3FBZ8WvdPlnc yTIkLFPXt2kYuoQ80qVCstOKqKm/MGa5X0NB8u6vqGoOKIl1ZXGl26N/xdcXyQgIvcxLIf5Q2Kpb q/ly70f8s/Od1qNyt3r2raff3mq3cYKR+r9TaNIoAassUMaKiV325H4icMebXmNQPuL49s7i5kcm W5kWL0/TumBPIwBgxX/K3pQHvTLssoxjxHo6PBjnmmIjmUYbiS8uXuHFAaLFH2SNdlRadAo2Gcnq MpnKy+g6fFGERGPII+3irsK5iuSAmlmrkLEj8Hr+7Y02fsa0wg02APffyQiGlaV+j/SqkyRsZxTk JESjRuDxbY147fgRnQaOYIsci6PXQINHmGQ/mRFaXul+hdrxtYSJJJW2DEngI6nYBuVCT3pl2onG MbPINOliTLbmXzBd3Pp3Ugib1kUtGjlePJQdmIB6mldyc5p6AlAn3H68iUOSaSGQSpQMu42J+gg1 B+RyeLKYSEhzDXlxRnExlyLHdYsEs7qkJLW0gDQs1CaEbq1Kbqdugr1pvnY4c0ckRIdXzrV6aWDI YF9k/kV/5Kfy9/xhl/6iJMhPm7XSf3QZ5kXJdirsVdirsVYTNY695S1m+v8AR7B9Y8u6tObu+0y3 ZFu7S7cATT26ysiSxTU5yR8gwerLy5FQqry/mKk0Zj0ny/rd/qB2W1l0+4sEDHpzub5LeAL4lXb2 BxVinl3yxqWtfmBe3PmGWO6l0x7a71hIORtfrwRn0+wi5AFotPhkM5LD45pg9BQAKvWsVdiqWeab jV7byzq9xo0Xr6xDZXEmmw0B53KxMYVodjykAGKvGvL+r2uqa8NCs9a1DVNPvNFmvbu8h1u/a5TU LX0WP1iONYGsZecrr6EM/AqAWjXbFUr1LUtf0ryP5NutC1nWpNX1XQ4dQ84M1zdak0Olz28LXmox rdTOIbiKT+4EVK1eg22VfQeltaPplo1nMbmzaGM21w0jTGSMoODmRizOWXfkTU9cVROKuxVhWlRn yv54l0RPh0LzIJtQ0tP2YNQjPO9gQdlnVvrCr/MJTirNcVdirsVY7+Y5I/LzzQR1GkX/AP1DSYY8 2rP9EvcXwmnLiQCKNRW2rsd+/wDq5R2lOoAebT2BjvLKXcPv/sTm1i49RQkA/QRXOYmXs4hM4OlD TIBsR0FKAVo3Y9q9sIZBnnkv80H0AGG7gNxGzczJF6avy4hf2lIbZQK9ffL8OaeP6Tt+Pe0Z8Iyc +aI86/mxqPmDT307To5Le2enqzSMokYEcStIgi0O/UHJ5M88mx5fj3NeHTRx79fx3284isGLlW4o QORLmg6V6nxzGls5UQ36KqSK/jUfryBLKla40qWKBJXZP3n2EDEt71A6U74F4WM+ZAwjtlPQF6Dt vx/pnQ9izJjKPdTyPtLjAMJdTf6H11+RX/kp/L3/ABhl/wCoiTNnPm4uk/ugzzIuS7FXYq7FXYq7 FXYqxH8r1EvlmTVm3m1u/vtSkfbdZrlxBuOvG2SJAfAYqy7FXYq7FXYq7FXYq7FXYqxH8zI/S0fT tYXabRNW0+8Vu4ie4W1ufvtbmUYqy7FXYq7FWO/mP/5LzzR/2yL/AP6hpMMebVn/ALuXuL4ZtAwd HQ8WVqhgaEEeGYHap5Nvs6Np+8J1bJ1rQn/PwznpF6oJlbQM5AG9e9CaZFmAmSWaqm1HaoG1aY2z pVEETIylArsKK69AQR/DEFae1/kxpXloaE0l1bwS6mZXV5ZkRyFA2VCwPHbtm87OjAw3G7pe0JTE 9jsw786NO0WDzPH+jUji9WINcRQqqryG1VC9KjrmN2jwCWzk9nmRj6mCiIAhREpPfxI+/NU7ML72 jRqURUCAcgFoa+J/VgtSxbzfx+qW1F4uHapoOhHtm97E+qXuDy/tN/dw95fV/wCRX/kp/L3/ABhl /wCoiTNzPm6zSf3QZ5kXJdirsVdirsVdirsVYr+VX/kuPLn/ADAw/wDEcVZVirsVaZlVSzEKqirM dgAO5xVRstQsL6IzWVzFdRA8TJC6yLUdqqSMVV8VdiqH/SWnfXfqH1qH69Tl9V9RfV40rXhXlSnt iqWat5z8u6YL8TXQmuNMt2vL20tx606QRkCR/TSrH0w4LKPioRtuKqsA1TzrqXmnyHq181nFDpFz bafPZvG5ae3nZ4Td2V9GwUpNE7AoQKOhr23Veq3d3a2drLd3cyW9rAhknnlYIiIoqzMzUAAHc4qq 4q7FWO/mP/5LzzR2/wBxF/v/ANG0mGPNqz/RL3F8LwLRkrSvLeuYHavRt9nuU/eGRWUNEDsAORoB /mc56T1cWU21irBSjV3qQV4/ZA2pVu/vlRLeAmD26wLHcIrckK+k0bhfTk5A8mqj8hQHw3/E4zvu jIDWy+1gR4bmORuXpQxC2afmHQ+pyljiUPItOUjH4juBWgJpl2UivNqx3fk3aXdzaT1gn9Fu7D3G Y4mQ3SgDzWXFmZ7prmaT1JZKcpTuSDTAZkpEAFCSa9e0eESSi3jSSMKG2VGf1GRgoHJWcBjX8My8 Z2AcafMrbxI3eR0VEV6lVSpVVJJVV5b0A8cxr3cmtmK62lhNNYJqMz29k04FxPEgkdUI3IUlan6c 3nYv1S9zzHtIAYQvlxfofVX5KLEv5YaGsW8QScRkGvwi5lpv8s3eT6i6vS/3YZvkHIdirsVdirsV dirsVYr+VX/kuPLn/MDD/wARxVlWKsT1n8w7GHVm8v6BB+nvMoBL2EEipDb0NC15cnkkIHdaNJ4I cVeY/mVpfmRpdXu/Nmow6hcad5av9Z0XSI4EOlx30B4FxbziT6y1srpRpwwJevFdhiqp5MvLyPzd 9fksYdH1uHzDH5dvtNtIo7eO5tl0dJZzwiVfVRLkNdQyPVhH8NQGpir1zzR5x8u+WLRbjWLtYDKS trbKDJcTuKfBDClZJG3H2Rt3pirAvPl1+YOq+VZ9SnmfyjofrWkclrbukmpm0nuoobie4uEJit/S gkeT04ix23k6rirG7PyJFd+b9c0e205NP8naNfSQS3scOmW8Vmi6Rb3EU9vcoq6p9eS6mEjSu5Th WprtirJfy2/LbStR0TRfM2uF7+4vLcalHaSosUaXGoMby5eQIEM4aaWqLMCEUKKclrirKPzKt7e2 /Ly/t7eJIbeFLaOGGNQiIiTxhVVRQAACgAxV85f85g+YPzQTVI9Ju4DZeRZSpspbZi0d3KoDH609 BR0b7MZFO45dcVfXeKuxVjv5j/8AkvPNH/bIv/8AqGkwx5tWf+7l7i+GbFgJU77mo+jNf2v0bfZ3 lP4MwtYYy9QC6gKKLRTUkBgG+IDvQ5z0nrQGUw2+rX6xlp5mjJhZpusPpW6rAjuVHGkZZ1rT6STl 0qIrpTXEm/x70yt1MVHEhVlGz13r1BrXMEubSy5lLqXkdmDlnDtVuRJozV96b4rsowsY1DcpEt5w 0cwhkR3cxssqUj+F0AYBuRO9NuhGZGGgN3Hy2StMF3HGst3M0k9yxmdJA3qDn8XJ6gV515KfDIZv qZ4uStFPcRxFIpWVUPq8UJAFRxr/AMNSuVAlsICWXZDFhXrkggsK83EfU4PH1D+rN/2J9Uvc8t7T /wB3D+t+h9XfkV/5Kfy9/wAYZf8AqIkzcz5ur0n90GeZFyXYq7FXYq7FXYq7FWK/lV/5Ljy5/wAw MP8AxHFUD5/8y6bc6DeadpesRCdL7T7DW3srhDc2VveXsdtMX9NucLFGdeRoV3b9nFXm/lz8nIrQ 69q13ZxeXdLsJdebTr361dfWIpE1Avp99HBIiRWi21vC3F4Xb1kf49tsVZI3mCT8xfL2i/oTTJLj X4reG4l8wiWSytdLvJYQLhEuoqSTyKSVeGHkp+zIVxVl/lDyBBpFwuranql15j8w+m0X6VvXqIla nqJawAmO3RivxcfiP7TGgxV4h5x8r+Z9atJvNOk6bJq+uXWl+ZotaVommYTrdRWENnASH9KSzXm8 KJ8Tem1N3Y4q9A0XzJ5e8v3vmLyELabWY43h/Rnlu3T6zIsV5aRvcWhVzwht43YtWZlRRIFrQAYq jPLv5Vahcp6fmjUroaKpBs/JkV7NcWkUIPww3U8hEt0goP3ZpGKcfiXFWUeZPzE8s+XLq106ZpLi /uneC1sLNA7tJFbvcekCSkYcrFxClq1ZegNcVSnzZ5isPMf5T3Os2Kyx290IqwXCGKeGWO7SKaGa M/ZkilRkcdmBxVl2v+X9F8w6Rc6PrVnHfabdrwnt5RVSOxHdWU7qw3B3G+KphirsVY7+ZH/kvPNH /bIv/wDqGkwx5tWf6Je4vhiyUGRfn2+Wa/tbo2+zvKfw/Sz7R4QC451I35DqD36ZzsnsIBlNtc3L 2vESMYIwYwi/YAZuXH5FhX55USWwAOuJZRCGiDeoKeiVIHGQMPiaqtUUrthhzWd1sho4rqOB0cUi SNY4PXD1WRCGlii4sUFGlYty7eBOW5arzacd2qwqypUsE5V2FB1298xnIXXXMv8AvGLSLRTz6gKK AfQNsVQ5k1u6QQW/rNCqSQBE3biSZWjoo3qV5fRmZiqgHEycyUNcOZKtRVVqsqxghACdgvMlqfPf Mc83IHJhnnBaWlv7yH/iJze9ifVL3PL+0/8Adw/rfofVn5Ff+Sn8vf8AGGX/AKiJM3U+bq9J/dBn mRcl2KuxV2KuxV2KuxV4Z5I84ecZNK0Py/YaML6yjtI4JrA84muLSWyRzdm6cCGOJZ5vTopZjwcU ZqAKsu8l/kl5c8uw3i3Nxc6r+kYrqG8tbyT14Ct9IklwTzHqSPJ6MfN3bqCyqnNhiqW+Y9F8pW9z /h36xrXm67UI0Xk9L6SaGNBQx/XXLJxgqAf9LlavYN0xVjX5i6f5qa11mPzBdw21tpXlm81bRvLu mqV09LiA+mivyVTd/VhwJ9RBGS60jFDVVd+X8tzpXmV7iGwh0C4TzFH5d1HQrGJLe0nQ6RHNJLHB Eqo1LpWuI5iOfokgmlAFXoHm7QvJ+jPc65c6ze+XVv5B9ci064eMXs5AUcLZVlZp3AArAokbxOKs N1bTfMum+T7qTy3at5J0K4ubVbu+mJuNeulvLyOCe8uJZDKYPTjmeWrs8tBT90cVSrTPy2+tef8A WLbTrOSy0nRr57ZteAsEltkGkwTwvHff8daS6F3OHf1HaExkg1O2Ksp/LP8AK7yrfaJpvmnUrZru 61eOPVXsbhne1Wa6t2LfuHZo3VHu7oxfAoCy7qWCsFWT/mJYWOn/AJcX1lYW8VpZwLbpBbQIscSK LiOioiAKo+QxVmOKuxV2Ksd/Mf8A8l55o/7ZF/8A9Q0mGPNqz/RL3F8L2hoyn/K/hmB2t0bfZ3lP 4fpZtpbSlyCOSrRmj5ceS1HIVG+4Gc6Xro2yWGTV7pAwd3i/cF7lFcr6duBbq7gDjRKlQafjlsuE jyprhYPnf7UyiqlHVqsp+Fu4pvmE5tN3CyTyB53MlavyY7VY/E246mmAlaCyYqttH6MgjE3qR3Qi fnM4jaOWNijBeABApxbehzJw8NebjZbvyQ0kd4GeS8ZmnnYysrg8/wB58YY168+XIHwyvL9TZi5L 1u7pIhHC7CMPzZFJAB4kcj+quQBZkBAyqoYsV3bao/DtiFYZ5zqLS3FKfvOnzXN92J9Uvc8t7T/3 cP636H1V+RX/AJKby9/xhl/6iJM3c+bqtJ/dhnmRcl2KuxV2KuxV2KuxViv5Vf8AkuPLn/MDD/xH FV/5jX1/a+WuNlctZPe3tjYS38f27eG9u4raWVCahXCSni37Jo3bFXjvlP8AL4yXmvX9vaPo+n6Z Jr0Vp5h46fBJBcWGoelYNb3NsBqMqJDDJ9ZF4WV9vtA4qyvUvNll500LR7vQ4Z5PNVpbRXL6vazL Z22kzXMKNPHdXkiSwnqBJbcJSaDkgoGCrI/IHlq2leHX9U80f401y3V4U1FWgFpbM/8AeC2t7YCK N2Wis/2iPAEjFXi/n+a9ktm81XWmw6/fXmk+Y7vUob62guzYNY3UVjZi1imWRIxYyzAyU+0PUduR pir0vTPMPlzQk1/yRq0k2sWYaKLSNEUNe3ktve2iSS2SRisjJEzE8n+FI3QMwAxVDeX/ACvqOr3k mi+ZvN08OlMtIPIn161uL0QqfijvbyNEuZUZfheLk23WRsVZB5i/Nzy9okNnHpNuNRtprmbTIbyK RINOiube1ln9BrmjItPRCGg4rU71RlCqnrvmZfM/5OProtWszeJCZLZnWUJJHdrHIElT4JY+aH05 F+F1ow2OKvRcVdirsVY7+Y//AJLzzR/2yL//AKhpMMebVn/u5e4vhizBaRQK/a6D5ZgdrdPi2+zv 8fw/Szy29MXgCMT8IBoCKgjOdL145slgkuvqwUXDeig4LGGPEKx58KdAOW9PHfKiWwAK00wiikIQ tLIqrbFXKGOXkDz+Hc7ClMYECW65LI2WWr3sNtNFKv7gosY9QOSkkbcnSItsu8lWHh75blqmvFzU SSaqjCp2rvQ1+XtmOG9XaGQueTF3ACnkfi+EcQN+lKfRioCHA1SdHigErhw0Kxo0jEBv3hRQpC0J TkQRv92ZeIigHEyA2Sg3keT95IEVpCWKIKKKtWgXsPAZjdXIHJiHnBn+pwAmo9U/8ROb7sX65e55 j2n/ALuH9b9D6r/In/yU3l7/AIwy/wDURJm6nzdVpP7oM8yLkuxV2KuxV2KuxV2KvJ7KXz2n5Q+U k8nx273NxFb29405lHpxTIUWQNCGdVWUp6hVeQSpBWnIKqHlX8kLs3X6T80X5uxdi8S70uVRNMba 7nu5ktpb5WQtT64sknBAPVjRkIC1ZVCa9HpN/ft5GsfMWr+croqVl8vveWtvZxRLs6ahfwwR3Mib UaP1JJCK1U9cVSjzv5ZvNHTUDrF3b3L6P5Zv9W8s6NBawxaPbXtsQrNBYuHSQ2yyJxabmSXJotAA qjvKmp6hL5wjv7uzi0zzDa+YE8vXNlbRJCLi0TRkluR+7CmWFLmt1C8lSqUGwamKsn87xeU/KM9z qQ803/lmTV5fWuNJ05raZryd/haSG2uYLpo5JDQPJFwX9pjX4sVYnqfkrWdP8m6hqyu/lnTr2e0k 1Vopxdazd2091El1c6jqdWC8IHkkENueAP7ZWqlVdbflDbyeZtdae0TRvJOmXjmKY3d1F/oyaRbi N7W2Ki3j9G6LT/XFk9TmrKe5xV6L+XGmWtz5W0bX7u0VNV1K1s9RuuS0C3hslt2uIo2H7l5ITwbj Q8dj3xVX/NL/AJQTU/8Anh/1ER4qyrFXYq7FWO/mR/5LvzT/ANsi/wD+oaTDHm1Z/ol7i+FbU0I9 yKfdmD2r0+Lb7O/x/D9LNdNf/SSZI/WC8OScipZR1Wu5WoHXOct60Mht4bu7kQF5WjUxGS6T1JKR xkREsK0ZU+yv3ZaSK8mABvzR8QdVBLdakE0rXMIuYGysrn4mpWpDHv1xVuVOMERQktK0kc0Ucj+p IF4OpeMDZQem5qR7ZfhIrzaMoN+TS27wW6mSVzPKWeSCVSGUP8StyY1cOp5A5HNXEyxXSlI0zDiO i/Ht4dK9ffK2woWWoVuo2oD8jXCEFhnnBybOBakgSVp7ld/1Zvexfrl7nl/ab+7h/W/Q+rPyJ/8A JTeXv+MMv/URJm7nzdXpP7sM8yLkOxV2KuxV2KuxV2KsV/Kr/wAlx5c/5gYf+I4qg/zP1ywTRm0V dUjtby7nsE1CGGdEvI9Nur6G2uZ0UH1EThIVMgHw1rWoxV51oXlqW+h8wC9tRpnlHSZNeSzvzBpd rDY3On6h6Wn3GnPZrHfI8MMMnrPOfiIFCQTiqYa1590zzb5M0S5aKC1uPTt5JfNV9cyadZ2GoSQ/ vEs54mhnupwea+nbng26s46Yqyb8sdO8mG7mvLbXrjzN5pii4Xd9qbyfW4YZWrxhtpgpt4HZNuK/ FTdmpXFXlvmrXNY0i0ufMNjZw6lqt3pvme48xyz28FzcwzWV3HY2yxmZH4xWLzKDFXgYg7EMd8VZ 1o3mnQNAv/M3ky1tH1mBXiksfL1qqyJDHd2iNc278ysFtaq9XrKyovqFV6ccVSTyrpvkS9uorfzD 5pS40uWURab5TtL+7uNCjYsPTtmupW9O8YMoCxVWMfZEfTFWTeYPzP8AMRmTTNP0e401p9Rl0mS5 4pd31uf0bLewzLZIHU+p6YZfikHENyHP4AqjddfzM/5M180RCLXxb2o1BQ0bkyieMFmMIWLk3VhH 8IPTbFXouKuxV2Ksd/Mj/wAl55o/7ZF//wBQ0mGPNqz/AES9xfC1oKnrTcePgcwe1f4fi2ezv8fw /SzjT5F9Su/TsaHf55zheviyaFr76u4eN1SLhsVO3qgslTT9sCq+PbKzFtElR5pSAYx++IAiILBl YMKMvEjfBDnus9wqaessMN5HOhiHBUUSRuxE6ODJHGzn93QOSf6nLstVvzasV3tyaZeT1RTJxAL0 BNAx4itPcgZjBvJamEkbvGYmWVCQyHkCGG3Eg0OFbQUkeqXER4pM9vyaJFT1GAZgXKKAafFx5UPh mXjIpxcl2Vl08jv6myrLVxGqGNRU12XegH4ZjdXIphvm8/6HBU1rJtSv8p8c3vYv1y9zzHtN/dw/ rfofVv5E/wDkpvL3/GGX/qIkzdT5ur0n92GeZFyHYq7FXYq7FXYq7FXnPl3R9Z1f8ldIsNH1KTSr +XTU9K5iPEk+maRmQD1I1dqBnjIcD7LK1DiqG8qfk/Fa87/zXd/pCT1b6U2sjepGYLuW8KreSMFW 4ZIL91JCIKk15hY+CrEdQvvIWs6la+WfLl9JqtnLKsVpca5qV5JoCSRtVYYYWlX9JOGQcYSxj8HH TFUR518p3GhapqFx9dvdU80XnlfUYvLN9UrKmoRU5w2EMAVLd/SdPRSEBuIfdjyOKojQn81J5yso vMQlfzRb+YDb6fKQxWfRotHihvLmOuyW00qiZlX4VnIX7QxVNfzG1P8ALrQNWunW61CPzLfKJb7S NBvJreScAALNeLE6xwDjSs7cX4jYtSmKsf1LyFay/lndaw95A+gvLDqM+k6FNJLaSWgu0kv5rm9J a51Gf6sJP3kh4g9EqAcVTI/ltoA1/wAw+ctdbTLXyeJZJ7do7eJnutOk0e3swrXiSsv1RWDmOD0q +oocHcDFXo3kBNSHkrQZNWRl1ZtOtBfGUUmMiwivq/5dSeQ7GuKoX80v+UE1P/nh/wBREeKsqxV2 KuxVjv5kf+S780/9si//AOoaTDHm1Z/ol7i+FrQCtT/MtPuOYHa38PxbfZ3+P4fpZjp8vpnZQ7Ei qMSDQGtKr0De2+c8XrYshtrPVLmk5kmNpwjczkswEMDLAW4sV5CMkgDw6UyywR5NYsHzRluaqnIF 2c0SnUk+A65ikOWG55peANPtfEp8dyK/eMFKpR3TmIR8+BYOs6Rs6yOissoMlf3dBx2pvtv2y/GQ GnICVf6rJBCiyyNLcS0lkicE8VdQ6HmWPLmG5eI6ZVlq7Z4rpf8AVZZE5LEzIF9UkKQlFNC1a02L ZAAs7CXTleTV7bCgp+GSipYX5t/3jh/4y/8AGpze9jfXL3PL+0v91H+t+h9YfkT/AOSm8u/8YZf+ oiTN1Pm6vSf3YZ5kXIdirsVdirsVdirsVYl+V8sUP5Z+X5ZXWOKPT4nkkchVVVWpJJ2AAxVI/wAw vOXlPV/Lk+n22oxXdusttc6zbRk/vNIt9VWz1Jq0o0KenIk3E/Zr2YVVYxbaPeR6T5q1vzhcm18k umu24iub+7uBPDJqH+4yW3sp1W2tVhgi42xt2PqB1IptiqI1DzFfeZ/IOk23mWGz8v6TNb2i3+u6 +iNLNeiJS/6Ms5aMz8+RSaWn8yo43xVln5YWf5ZWIurXynIkuqcVfU5bkOupSr0WSYTrHL6ZP2aK E/lGKvLdYXz9Fpl7J5N+tyaza2nmceabe2Mvqz6lJdQwW7Fk3+sRwSfWLPbkYkCpQHFWYeXvN7Wn mLzdpnlPTTqyG7hlmlLfV9MtLw2qC+a4uyGRQGRWdIldzJzqo3bFUD5P0j8mrbXIdQ1HVNN1TWJ7 nnYtbweholvdOaenZABrYyluheV5CdxSuKorWvzI80+Y9dXy95d0q/gtVu7qx1MqjW120cNvcpJJ Bdt+4jEU6Q8iGBHOIBqyURVPdd07X9N/Jn6h5gukvdXtbe1iu7qNpZA7JPGK+pN+8kNOrtQsdyMV ei4q7FXYqx38yP8AyXfmn/tkX/8A1DSYY82rP9EvcXwvZvQNtQ8l39qHMDtb+H4tvs7/AB/D9LLd Oo0nImp7E0znS9bFlEa3n1UmRXEKcVckGg9WrJ225AEjxyDaFJdTZLikcQeZ6C3b4lZGLCjIEZfi qKZKI3ssZnZWWK5txJHOskIU+iFfhKfrEPAypUlWj+2W2Ht4nLMtV5sMZN+S5ICSWVC5ABk4+BIU V++mY7eunaS3kmi4FJoWKuhFCGXYghh2PbHhW1NYNZv4kFv6rQ8mgWMMVTk4L8ByYLvw5UzJx1Qc ad2UBLctIqljvSrEKqA13+yvw/dlIDfbE/NR/wBDi95BT/gTm67G+uXueZ9pf7qP9b9D6z/In/yU 3l3/AIwy/wDURJm6nzdXpP7sM8yLkOxV2KuxV2KuxV2KvO/LXlqPzL+TGjaQ91Naevp0YSaB2Wj+ mQnqKpX1YwxBaNvhfo1Riqd+WvIPlny3aNKkCLL611ezSux9GKS8eSW4ECGkcMX70pRQKoq8ixUH FXm0mq/lhd+YLXTfJOj6R9aecrZeYtRi/wBxMFzyrx09DRZ7iu6rCY1PZ+2Ktee/LFzomrajeyX9 9qvmrUPLWpReW9TdiJ/0oorJFZRwhUglaJk9FIQG4rJ9o8jiqtoL+aR5zs7fzF6j+abXzAYrCQ8u M2jQ6NHBd3Mddktp5R6pVfhFwQv2hiqefmhrX5VWGoONV0qPXfNIiUNp1onK5MJ3Q3joQqQCtf3x 6V4qcVSXzF5V/SP5btq93eWr+XLc211F5c8ukJpEenx3ccl78UQVrt/qwl+IqqA/EIwwrircn5ee XU1rzD521+TTLTyd6jzWrxW8TSXWnS6Pb2aobtJWX6qGDGK39KvqKHB3AxV6T+X1vfxeS9FfU4PR 1iextX1TmoWZ7gW8cbNP4y8UVWr4U6DFUN+aX/KCan/zw/6iI8VZVirsVdirHfzI/wDJd+af+2Rf /wDUNJhjzas/0S9xfC9iCVcgVIZd/DY5gdrfw/Ft9nv4/h+llFi4SVHYAhTXi3Q96Gmc8XrIsgki 1KeL1y8zaeYkcy1J/d2zLDzXmU5iPmVUFu9KDLeIU10bQ0JSTganbudj17b5RTkI1pAvKVjzqeTS VqxJ61NcTEpBCql68loixyBWPqLMsRdZHQFJKy1HplV4/Dv1HyOWQobNc7JtZNFPFIZZ5JPrU1Jp A4BH7xVdWD8mJ5BuW4GQnuWUBQUmuGeMqdlqCQdxXxAyDNDy0IoGFKVJ6fRhQx3zftYwe0nWlP2T m67F+uXueb9pf7qP9b9D6u/Ij/yU3l3/AIwy/wDURJm6nzdVpP7sM9yLkOxV2KuxV2KuxV2KsS/K +WKH8s/L8srrHFHp8TySOQqqqrUkk7AAYqkX5h+cfKeseXJtPt9SjurdJrW71i3iLfvNJttWS01F uVKNChikSbifs17MKqsatvy80XRNM81eavNcmnWei3i66vp21vGk09pqOofWbSae7WaVbh1SKP6q ojVo+fHdsVTmyXzb+YnkzTdKvtLj0/T5bO2Oqaxq1us00tysS+o9hZSg0+OvCeelOqow3xVmfk78 v/K3lC3kj0a0CXNxQ3uoSn1Lq4Yd5ZjufEKKKOwGKvFdX8ieavMWk3Unlop/iKys/M9hr6tJGhuN R1G6hj9CcOytSa05yW7H4F4xbhaYqzHyx5nuYPMnm3RvJ2l/pcNewv6gYQ6ZbXjWkaXpluQGX+8R S0cQdy/MkCpbFU68sfkx5a0/UU1vWLe11HW1kNxCsNultp9pK1CTaWallVqivqSF5K78h0xV6Fir FfzS/wCUE1P/AJ4f9REeKsqxV2KuxVjv5kf+S780/wDbIv8A/qGkwx5tWf6Je4vh7RwSJCoqQyUX 6GzX9r8o/Ft9nOc/h+lO4XUVVjy7ciK9PpzQvVBFItaHmSB2ocFM0/8AKeo2lj5g027u4hJbQ3CN RjRCUYN8VQdhl2nkIyBLXmBlAgM1/OPzbo+sLpoghj+vpy5tA5cCE9AzlE/a6Cn68zdZqITApwtH hlAm3ncKoY+SyUcmgSm+asuzCqjVdxFH6hIJ4HcgDckUp0pjw2m1B5eQB+fw07fPAtqTvQbdDvvj SEh8zzcrONCN/VBBr2CsP45uexh65e55v2kP7uI/pfofW35Ef+Sl8u/8YZf+oiTNzPm6zS/3YZ7k XIdirsVdirsVdirsVeVab5LvPNf5TeULS11W50o28dtLcNayek0kBUpKtSsgDorepESpo6jp9oKs s8o/lz5f8t2aRxR/Wbv1bi5luXHFPWvHeSf0YFPpQI3qleCD7IUMW4g4qqWX5aeQLK9S9tvL9jHc wv6tu3oqywycuXOBGBSFuW9YwMVZLirsVSHWvIfk7W7z67qukW13dlBG87pR5IxuI5CKeog/leox VNtP07T9Ns4rHTrWGysoBxhtbeNYokBNaKiAKu57DFURirsVYr+aX/KCan/zw/6iI8VZVirsVdir HPzJ/wDJdeaf+2Rf/wDUNJhjzas30S9xfDmiPW4ePlx9SMjv1G/bMTtWF4we4sOwJ1mI74/qTJtp CAaqDsf9quc69grxE1/tyBZBFxULb9O2EJZd5V8kXutmscczsCQY4VqwA7sfi47+2ZGLDKfJjkyR gN1XzV5F1HRF5GKdG/ZSWMgN0+w4ChuvTDl00oc0Y80Z8iw/60oqP2u/tT6cxyGzicLkbgkZFeJR lvI1BB69jXeuNIMkh1mYyxxHcjk1D22pX9eb/sfGQJHvp5X2iygmEff+h9jfkR/5KXy7/wAYZf8A qIkzZT5uNpf7sM9yLkOxV2KuxV2KuxV2KsR/LJjBoN3or7T6FqV9YOvSkfrtPamnblazxN9OKsux V2KuxV2KuxV2KuxV2KsR/Mlhc6dpOhqOUut6vY24TrWG3mF9c7eH1e0kGKsuxV2KuxVjn5k/+S68 1f8AbHv/APqFkwx5teb6Je4vg2CZ4ZVljYh0NQRl04CQIPIujxZJY5CUeYZQFglUNAeSH4lPsd98 5HNgljlwyfQ9PqIZocUDstUsp3HTbKW5MtMKvdQhqU9RdjvtXE8mceb3T8g7i4tYZLaaFn+uojvc H7SzRhvU5g0oGavbr8832ilGtnUa+J69GSfmnPBfW8WlGAvFE4mvrgkIscRRtgzU+1tXLtRKNbtO jjK7HV8q6xPHb6hPFzJaNuLkbVZRRjTfq1c0ERYdxM0UtbVFU/aOSGJgcjVtPcXkwihFSduRAA2I 6k0A9zlscBJocy1ZM4iDImgEJqV2k8/CGotovhhHj0q5rvViK/h2zp8WIQiIh4TU6iWWZkX2h+Q/ /kpfLv8Axhl/6iJMrnzdppf7sM9yLkOxV2KuxV2KuxV2KsJ1qQeVfOcfmFhx0HzAIbDW5K0W3vIz wsrpuwSUP9Xkbt+67A4qzbFXYq7FXYq7FXYq7FXYqwry9KPNPm6fzQvxaHpCS6ZoD9Vnldx9dvEP dOUawRt/kuRs2Ks1xV2KuxVjn5lf+S581f8AbHv/APqFkwjm15voPuL4IBIzIdAmOmatJafC/wAc JNePgfbpmNqdLHMN+Y5FztD2hPTy23ieYT6Ca1uw3pOrPsVBJr9Gc1qNJPEfVye00uuxageg793V sSzQMDTiQagiuUOVyekfl9+a9poav+kVmLep6heJEcPVVX4lLRsGomxDU8RlmHNLH9Jofj8c2GfG Mg35onz/APn1FqOiz2OlRTi6uQqvPPDCkcaq/KqJynZmKinxNt1GXzzzyCpH7P7fvceGEYzY+/8A seFTSXE8zMSWYmrE++EUGRsr00mZwzO3pwqtWklAQcqbgfa7/wCYy7FE5DURbRnyxxR4pmg1LfQw W7W1kD8Y4zXDfaYdwvgrd+5G3jXc6bTDHud5PLa7tGWb0jaCA5ZlOtp9u/kMa/lJ5d/4wy/9REmU T5u70v8Adhn2Rb3Yq7FXYq7FXYq7FVC/sLLULKexvoEubO6jaK4t5VDI8bijKynqCMVYTbX+r+QV FlrBn1TyfH8Njriq01zYxjZYb9F5SSRKPs3Kg0H96BTmVWb2V9ZX9pFeWNxHdWk684biB1kjdT+0 rqSrD5YqrYq7FXYq7FUPqGo6fptnLfajcxWdlAvKa5ndY40XxZ2IUYqwu5udX8/A2Vik2l+SpQVv tSkV4LvUYz1htEbi8Nu42edgGYGkYH28VZvaWlrZ2sNpaRJBa26LFBBGAqIiDiqqo2AAFBiqrirs VdiqV+a9Im1nytrGjwOsc2pWNzaRSPXirTwtGpagJoC2+2EMZxuJHe+az/ziT50/6vGm/fP/ANU8 s8QOt/IS7wxC4/KS3tor2WTzNatDp8cs9xNHY6rKjQW7+lPPC8doyzwwvtJJEWRKjkRUY+IEfkJd 4RWmfkxPeanBp9t5ktYdVmkjjhsZ7TVLW6JlikmR/RntYpBGUgc+rTgCKcq7YJSBFEWyho8kTYlR TbTfye1y5106HB5osJ79HmhT1Yb70HkttriGG6e3EEskW4kRJGZaGo2OYmTR4Zfw17nY4tRqofx3 792TL/zjb5+AI/SekGv+TN/1Syj+TcX9Jyh2jqf6H2ub/nGzz0xqdQ0cn3Wf/qnj/J2Lvkn+UdR3 Q+1Br/zjj50uzcQ2uuaLztpPSuhB6jSRy8BJ6ch9MsjcJFamxoQfDLsejwx6X73GzarVTFcQj7vx bGfNv5Fap5ceBde8x2qPcQz3EZjt9Ru+NvacPrE0ht7ab0oovWTk70UV65lxMYigKdbPSZJm5Ss+ do/V/wDnGHzHo+k3erajr2mwadYwvc3U9Ll+EUal3bikTMaKK7DJeIGP5CXeEX/0KT50/wCrxpv3 z/8AVPHxAv5GXeH0J+W/le88reSdL0C8ljnubBHSSWHlwYtK7jjyCno3hlZNl2GGHDEBkuBsdirs VdirsVdirsVSnzD5q0Ty/FBJqcsitdOyW0Ftbz3c8hRC78ILZJpWCIpLMFoo64qkc35v+QYZJFe8 ujHDbw3st2mnag9qtpc19G5NytuYPQfi1JefDZt/hNFUov7X8to7R/Mmj395ob3l5JZLdaClwGvL uJ3WQR2CRTxXj1ic8xbyfCrMDxBOKplo0HnLUdMt9R0TzlbahYXC8rebUNKEjmhKsJBbz6fQqRRl 4KQwofDFUb+jfzT/AOph0P8A7gl5/wB5bFVG6h/Mi0RZLrzR5ft43kjhR5dGukDSTOI40BbVxVnd gqjqSaDFV8mh/mBKjG882w20YBLtp2lxxOKb/Abua/UfSpxVIPKCflp5gvra9S41LzNqdIbi0u9b tr5gkdxHJLBdQwTwQWsEUi2z8J44lVmHENyIBVemYqpQ3lpPLPDDPHLNauI7qNGVmidkWQLIAaqx R1ah7EHviqrirsVdirsVdiryy2/JXUodPu9IPmOujtoF95csLVbecGOC7VEimmVrt7d5YVjoWigi MlfiPTFWQSfl7dt58tfOC6vS6s4ksIbQ24MX6N9M+vbseYYySXJEwl/Z4qnEjkWVS7Ufys1i80/U dGXzEIfL91cXl5baf9TDEzX12bySO9kMwFzbeo8i+kqRko3FnNK4qxZv+cYdHlhhFzqcUtx+lbW/ vJfqQCy2NtDHG2lBfWPG0LxBkQswQcVo3HliqHH/ADjJcR6xc3cPmiMac9w09tpEulwyQrF9YSaO wmk9VZpbBUjVDah0Q/aHGpxVM9P/AOceIrLWxqUWrWqo01jO0aaaEkhexjtlc2Uiz0tvrLWpE3wN yjfh25FVPoPyU8vfozyvpV3K0mm+XdKutKntLUy2CXrXn1YzyzfVZY6rK1szSRPzVy5LVpuqwe4/ 5xannj1aOTzNBMmrci8U+l+pFHJJ6nO7WP62q/XKSKFnFKUPwnlsq98xV2KuxV2KuxV2KuxV2Kux VinnTyVd69eWGo6fqK6bqNhDdWglkjnkVre99IzAG1uLGeOTlbIVkjmBG43riqVQ/lbqEWkXFj+n 3a5u9A03y5c37W4aRorGSczzgNIwEk8V2yrWvBqN8fTFVe3/AC4v7Hy9oml6Zq6RXPli9e50C5uL UzRx2zRT2sdrcxLNE03p2100YkWRGJCse/JVjeq/kC+sagbvV9eS/M9xaXWoSzWKm5ufq5tvUtJJ RMF+oyfVSy2/p/A7cuTUoVUGf+ccClncJbeYlh1C4sLfT5L5tPjl5x2kemJGssUkrJLDXSXJhf4C JmU7D4lVCL/nGc/oqawuvMUdxNJ9XePVP0bFHewtBLav6cEqTBYrZUs2WGFEHp+ox5N0KrJ/KH5M 2ug6vb6rPc2lxNaw3sdtbWtgtpbW8l7FZwGW1jM05hb07JvUo3xtK5qK0xVLNQ/5xz8vXfl7y3pH 16R5NGn02XUrm79e9F9DpkUkYtRFcXDrbwP68hEcfwLyIC4qh4/+ce7iIWLR+YI/rGnrp7QXjWFb hpdNjtUihlkFyvKxLWQl+rDjSRiwkxVl35Y/lpD5FtryCO7jvTeR2CSTLbCCTlY2UVmeTepJyR/R 9RVP2WZt2rirNsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVd irx/XfyDvdV1dL//ABDDEsdzLIiPpwmkSCS9N8qwyvcfurhWkkT6wihuJHELvyVS/Qv+cctS0TU4 9Ui8w219LBNbXSWZ02OzikmtJ2uEbnDI4hf4zCkiRERxFl4NXFWbaf5P812uvz+aJ79L7UXS4jt9 FluboWkS3MkLFRO3qIPSSGimOzSvRt+TsqkHlf8AJvWPLXmi480W17Y393LE6JpzW72jepLxV3fU UaeRzxDM9bekjnlxUkcVUF5b/wCcfL/Sb+21BvMSLLbw2witbazMcUc9tcxXBZW9fk8T+kS8TDg0 rGbiHxVH+VfyMudEu9EurnX/ANJzaXKk9zJcW85eZ42uSpiZ7uT0CfrY505cipJ/vHBVer4q/wD/ 2Q== xmp.did:BB83EE0B77BDE11186BAA5DD8AB48C93 xmp.iid:BB83EE0B77BDE11186BAA5DD8AB48C93 uuid:D9098E846DDDDF11A669AA5AACADF97C proof:pdf xmp.iid:14ECAB9E62B6E111873AF31CDCA1F964 xmp.did:14ECAB9E62B6E111873AF31CDCA1F964 uuid:D9098E846DDDDF11A669AA5AACADF97C proof:pdf saved xmp.iid:9506D085943BE0119CFA8125A3BEC8BC 2011-02-18T11:23:10-08:00 Adobe Illustrator CS5 / saved xmp.iid:C42C6E5AB03BE011955EE4761774DCE8 2011-02-18T14:42:23-08:00 Adobe Illustrator CS5 / converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator saved xmp.iid:6A1EA1B5B73EE011AE21D0B3EADDEB39 2011-02-22T11:12:36-08:00 Adobe Illustrator CS5 / converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator saved xmp.iid:9145C2365D40E0118C499251296ACDC4 2011-02-24T13:29:51-08:00 Adobe Illustrator CS5 / converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator saved xmp.iid:663FDF331D41E011ACD5F3CA975977FB 2011-02-25T17:38:35-08:00 Adobe Illustrator CS5 / converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator saved xmp.iid:F85E0B4BE648E011A920D15B7D28A59A 2011-03-07T12:31:13-08:00 Adobe Illustrator CS5 / converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator saved xmp.iid:14ECAB9E62B6E111873AF31CDCA1F964 2012-06-14T13:52:45-07:00 Adobe Illustrator CS5 / converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator saved xmp.iid:BB83EE0B77BDE11186BAA5DD8AB48C93 2012-06-23T14:11:46-07:00 Adobe Illustrator CS5 / Basic RGB 1 False False 5.333008 3.053413 Inches Helvetica-Bold Helvetica Bold Type 1 003.001 False HVB_____.PFB; HVB_____.PFM Helvetica Helvetica Medium Type 1 003.001 False HV______.PFB; HV______.PFM Cyan Magenta Yellow Black Default Swatch Group 0 K=100 GRAY PROCESS 255 K=90 GRAY PROCESS 229 K=80 GRAY PROCESS 203 K=70 GRAY PROCESS 178 K=60 GRAY PROCESS 152 K=50 GRAY PROCESS 127 K=40 GRAY PROCESS 101 K=30 GRAY PROCESS 76 K=20 GRAY PROCESS 50 K=10 GRAY PROCESS 25 K=5 GRAY PROCESS 12 White RGB PROCESS 255 255 255 Adobe PDF library 9.90 endstream endobj 404 0 obj << /Annots [405 0 R 409 0 R] /Contents [410 0 R 407 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 1418 0 R /Resources 406 0 R /Rotate 0 /Type /Page >> endobj 405 0 obj << /A << /S /URI /URI (http://www.xilinx.com) >> /Border [0 0 0] /Rect [275.58 26.4 336.42 37.56] /Subtype /Link /Type /Annot >> endobj 406 0 obj << /ColorSpace << /Cs8 1435 0 R >> /ExtGState << /GS1 1436 0 R >> /Font << /F1 1437 0 R /F2 1438 0 R /F3 769 0 R /F4 772 0 R /F8 800 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC39 792 0 R >> /XObject << /Iabc2012 408 0 R >> >> endobj 407 0 obj << /Length 18 >> stream q /Iabc2012 Do Q endstream endobj 408 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 108 14] /Resources << /ExtGState << /Gabc2013 780 0 R /Gabc2014 782 0 R >> /Font << /Fabc2015 791 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U]hߟ}j]֠zfQNtD'W9F&Y:)WIkp:3ϳ-nQu[/d"W-j}'dH5aSq ܟBd#S:q|sLѨ\u$sx2W4@wP"]@ U8Ρ\j'-:A/} endstream endobj 409 0 obj << /A << /S /URI /Type /Action /URI (http://www.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG932&Title=Kintex-7%20FPGA%20KC7\ 24%20GTX%20Transceiver%20Characterization%20Board&releaseVersion=2.2&docPage=26) >> /Border [0 0 0] /Rect [108 14 194 36] /Subtype /Link /Type /Annot >> endobj 410 0 obj << /Filter /FlateDecode /Length 3075 >> stream xZrO.xŴEdɒ3ITeThlG<%W>#_s.==3dK"Es_@7b_N *&|h6}oelιn?/L&17Mߨ>f»YS{h0T9To׫ţǺEɍؾ\ؼT}=VkV 7le7i7N٢=~ [zeŷ+G14X\\uS؞i5}v)'5MAvہ>+Wf]Fms襍Jډ=4El}ٞli~)KNKaٚM(k_^関qB}՘Ji7wVy"gSDdJ`NfN $ l ."` 7h۳MT'zSY+'qT!lyGg12門nϮͶp|n;\{p}wdypp Afiz ?l`rF!3p Zf0!k 2*F9YF-DC}z{~ݞ-=:Iqa5v21 r4R&.FY(nT@R^sFV93"X1_Cќwin4G5r}ܣ9JaVT9 FN/)8D݁#f>òNQX2zՇjKFxr.3@#!$AGfQ75GHF!#JkTwJJNKؾ~Or>)q7u7X9աiX bY Iج VcSr gg_8&hpa *Hs@ŁS6o!4_4ͧM` ἁS)kHb:9*dM(eEϖArjYP(afH<"vTQ;٭-Iц 1$<]J_@d J:s}/{2vp+h?X.󤅙mC. fjp7v ~/KsP j'&. yTpT.@:&ZTܩ%.pI@rS O\pa٠q Zc^oeRi~fHuKR^W*2 ¨UeqdNraIv#͂"}T>JpUb#Q*IU̓32Opd*M%q'V a'K C[/➪CV)"X-r@beՈMeͪ3q`ddvՂ P) Ӑ!-7=T,ٙ %[а*}(!*D] re/REKp)aEeԞYXƞKrg'‡a5}FD#e-ВT$Ec@FR#)YDZ"aX@ۑSA )ި‹R}EbBq`J"VU|%/:p#Pv.6>cqCkuǷoxm߾s\pwq!6TnC+\z=4 x},^]ދbKC]goh`Qy%>\CiG HB"T)8()ͷ-%T<tDRIISʍEJ(n?ύ"Tp;fi!NWG1z*bgH&p Wtp2Xփ?TTٺʶΧ8҉#4E 2L;n"eh;) яF# < yC`{[Ry'yPpW>]*IEi> %dm֌Kn"O fG`u˪w. |&d]w1K,1W/ߤ_UEMDfSV"^cqA<ջpO=Ž4\"w܋_xW&'!Ϥ3_t4tEm(fh}gx&OCdmon/$aH1$r4)U!"'`?`O)ui{) ,qJߓV0$]O!QYz7JVeqԮOS(E0kN= T1L'oDf$L'$V';ZW[`,Ot=nLeRZu}D J`.Uܕ e#F,.>x.JxNzc@}t{L9'Su,ڄSqNT$2gZ|k\rxG45JN݋.=ni^yO6EdlppI-ۜ>QTj _7.lq oBn'mi/"2oM|wDq_W=> endobj 412 0 obj << /A << /S /URI /URI (http://www.xilinx.com) >> /Border [0 0 0] /Rect [275.58 26.4 336.42 37.56] /Subtype /Link /Type /Annot >> endobj 413 0 obj << /Border [0 0 0] /Dest (G3.454439) /Rect [434.46 321.6 478.2 333.6] /Subtype /Link /Type /Annot >> endobj 414 0 obj << /ColorSpace << /Cs8 1435 0 R >> /ExtGState << /GS1 1436 0 R >> /Font << /F1 1437 0 R /F2 1438 0 R /F3 769 0 R /F4 772 0 R /F8 800 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC40 792 0 R >> /XObject << /Iabc2031 416 0 R >> >> endobj 415 0 obj << /Length 18 >> stream q /Iabc2031 Do Q endstream endobj 416 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc2032 780 0 R /Gabc2033 782 0 R >> /Font << /Fabc2034 791 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=.PkP=(E'ܱYZ)Yv0m11:}ٓ#,J[㫤̵R̃sV7Өwf^_ DZ`O6Oaeɐ"ky / ̧9?ɒ F0t)QXI*dxQUh>ΡDHIO;p%4CNZu^lMY endstream endobj 417 0 obj << /A << /S /URI /Type /Action /URI (http://www.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG932&Title=Kintex-7%20FPGA%20KC7\ 24%20GTX%20Transceiver%20Characterization%20Board&releaseVersion=2.2&docPage=27) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 418 0 obj << /Filter /FlateDecode /Length 3241 >> stream xZ[G~ЏnU30 !XE +41x3c'}mDŽ/vUשsΥN7Uܿ;n&ڷ1VULjR6/E:-A.j~lkS\g+Ł5S ,6NɚmcUj$AFW Ϯ'6OۮS' >O~? ֶQmgMxiT_nLrfr/7](fbTKrm[1gB?n|׺cy\hkah(VpEHZ ٵ_;Mζ1SMUg YWMIMS8߮:k*ݩ<^;2+ȁ!LkKje=݇dz&ǵ  x+ۧwO3dZ>{j5#^u|H?[챐V R+Lu@PshY[4Ee1UG  ~:ؘA$μ6g-J{^3N9Rh: {UӂqaCku&N& /eL l)d^SEW:ḰEY4y؀,@H|Ha$V8*bC2d-ȃOSV=S;\ lGGVK 2$AOH3C[g/잢C),XeaD?#DӢ˜EcУ{`$d)vռO |5L=67BhdghVl)G@HBA)2Bj V8,QkvjUƐӔ9?3dLM~b ;|{VNk† QMb~N,*҈p/C}(fo+AJqgAB#Mcm#\#I)|d54oU5=<;^yq'kM0} WHknyMe&͌+a Yo9Vzߎ pyEاU}d$6ջψFڨ>F2%Q Or)L/Uts-jGe2LHԊt$sH%ĈIb8Lڻ1+E7M9%HfQ=~~@>hbfWy[Zez Wگ쯞eQD7,ճK1ME>Qϝgj1߮7l ^Mt/~;oʕ{㳧l;士˥ Z8!cv2pS,Cf-e<~aճ9}^QqeJjwrԠQh%T" +ި\{-mz*FZ,KRHb_ ScGkS*P8g}nxZgz9j'g.aˑy&w(tzQ/DmF/nEE|8z?>!ܙm3>Q/Eܸ_a/㙁jքIݵa K4!*2u~ 8ʪͨ佩pM5U̶T᏾~9Ha1r7Ca7{\u;3Yj}ca_ |zf yNzX~ȐwCpء0W70GBXvo5(ð7~w-X7-onXN'u (+;$5B==ZLW=$&;Nqs3xJCcOG,/A{('mpu$IЦɩuO`םhQ;m %x.x?H..R 7-ȜX")*Hu=> endobj 420 0 obj << /A << /S /URI /URI (http://www.xilinx.com) >> /Border [0 0 0] /Rect [275.58 26.4 336.42 37.56] /Subtype /Link /Type /Annot >> endobj 421 0 obj << /Border [0 0 0] /Dest (G3.486351) /Rect [162 236.58 205.68 248.58] /Subtype /Link /Type /Annot >> endobj 422 0 obj << /Border [0 0 0] /Dest (G3.454634) /Rect [203.46 503.58 247.14 515.58] /Subtype /Link /Type /Annot >> endobj 423 0 obj << /Border [0 0 0] /Dest (G3.473454) /Rect [197.16 545.58 207.12 557.58] /Subtype /Link /Type /Annot >> endobj 424 0 obj << /Border [0 0 0] /Dest (G3.473143) /Rect [212.1 545.58 256.56 557.58] /Subtype /Link /Type /Annot >> endobj 425 0 obj << /ColorSpace << /Cs8 1435 0 R >> /ExtGState << /GS1 1436 0 R >> /Font << /F1 1437 0 R /F2 1438 0 R /F3 769 0 R /F4 772 0 R /F8 800 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC41 792 0 R >> /XObject << /Iabc2050 427 0 R >> >> endobj 426 0 obj << /Length 18 >> stream q /Iabc2050 Do Q endstream endobj 427 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 108 14] /Resources << /ExtGState << /Gabc2051 780 0 R /Gabc2052 782 0 R >> /Font << /Fabc2053 791 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n w/x(R\T1B2h7r K$V-dAQ\0j4GCIB%97u%9!^\y( ^)Al\9 " ٷGӮBxgH h@1H!6hxaxOBD>.PkP=(%NW!ӘV tw%BJC (aNs(_|۾{w endstream endobj 428 0 obj << /A << /S /URI /Type /Action /URI (http://www.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG932&Title=Kintex-7%20FPGA%20KC7\ 24%20GTX%20Transceiver%20Characterization%20Board&releaseVersion=2.2&docPage=28) >> /Border [0 0 0] /Rect [108 14 194 36] /Subtype /Link /Type /Annot >> endobj 429 0 obj << /Filter /FlateDecode /Length 4750 >> stream xZْHv}<Ғi-ڊ IT$KܛZ$27ny~ӑ>=zrmV*ceu1l}:XduVmm>yW. jF`jѣ&3Q:Y>:|;+fmNq!}b2;-&p˻۷oESW!X^.WE0Z=;y!ˠɰY;`xG !򿞶gOfoY67s v~d_/c.87pZ%2~n^e'0.WJSY;`oۛ'}V}\3Șn[pĭLeo a`Kj&H47-3c7hk1TkZ,&?= ReF蕒g{y47nI^^~v|Y'YfG6Mfj?g+F[38`@תM-dВMGgUeON~ ۄߏ/a_zw"ۀأm-l!#/i# /6[\ VV '<ή"a܈}FpPz)R#XU "i/I1#;[Ȭ,dVdnVQ-aFQ^]:&,T>F8;KӁ\Q6UT ) /6<f5#ut#ӆJJ;%uȫ|x{VVN]LF VNyC\xF{(UjӤmýjHzp־'i p:0a ;--W&LG$o"2!b7?¹>En,6 Z- r\T79)K=* #D4tCss, !YT%pG=3 ~q(p!f502/GdZ dja=DZDtd9d >?T [V4⸱[Ad l b&ߞ n %-'̣-$vВn^PM8pL(UgWN 2iKxp$(*DjD3$ Κ|b%sd&$zEQ֭ '`d1@! 8QK>z8Өl8C JU9n(wR*Q!#jhOVU Sbz<=7aMScNRJبSu8hbQEe√˂QѣDdv `MNN3N+zgT Xl GІcVۤ%daVG!u8Za 2䞢,XƜՁu8gYPO4 s!5όTFl#L9AQ #_DpEvevĤZOD4q='eJOySUT .c$Sҩ(1mNg!y~+Fx^22鐅ك^M{Qܭ7.gɦ(ɗԃ:׍K$/|~U[Uϔ^YS;*}Qe(Ykl;Dնfs8A3XyVB v  K簑s b[D=;M 4p3Y't_C.3o [vќn`\~Ӌ;:y8> Հv5A28\p]O'!CRLsR8&Anz(\Ŕa x%<>~8ct- xv+nxbV0Nn$!-=a0җaBɂaRԯoE}XD}Y0F}{@ѥ Zi Sg aLZ^/H-B6z+3cwRD9)8sM lˆZjP 9D%A 6|쉺>UZ?ćr^7ttVl[mɴ{2FqP9Sz`ƌ 9<(a~ L}2u K d8N .3 ^=eOfR/x;+:殍\XQ,z ˯Zk,X64lpSI}R\kn_NWa'ƭ2nk 7#fvR+Wѱ8dCݿ;t+m I9orj_hVwًhP$[|6|k!B7!@3X7 c1} l,r٠/g h8;0; @<ȫ,@폿,@O*xOzk?O ryhK=$bR')ϽX%Lȯw/ ,Fo(,̶A{ fV}=Jz۲ Y?M`[qM78(<&FA6Ŝ頜~,wˢ׫KT7oR>$LbmSC DГByS%>~Oe /7 N%PR7} w!,Yj ZuV8_󆭻W Ze+ж_m{U ]Jq22+eXҠmAwlWx#HO> Y~xpr+g%]%o,2 qAesku> ӦҠUqiL4[T"Ck»F"M$>}ξ9%ɰACC6}"f쀭24tvN4.ʩighBZvs5RNJ7B ݛʏ\LNբЦc&~*H;/v\MfȃRop >tBunr:[ȗ͛=[vFWﺝ̺o>vߩ9(cv0I0][WOy;/b}ݔ9Wf`z!> sSCeSkr]Z쪠ݍ`hG{ ¦L~ ۈO8kt>ۃF7y=Yɨ=djvڣt ) 7|U ?MzJg E'DGaJJG  t4`@ wt:J)z{^L >9_t&mM endstream endobj 430 0 obj << /Annots [431 0 R 432 0 R 433 0 R 434 0 R 435 0 R 436 0 R 440 0 R] /Contents [441 0 R 438 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 1418 0 R /Resources 437 0 R /Rotate 0 /Type /Page >> endobj 431 0 obj << /A << /S /URI /URI (http://www.xilinx.com) >> /Border [0 0 0] /Rect [275.58 26.4 336.42 37.56] /Subtype /Link /Type /Annot >> endobj 432 0 obj << /Border [0 0 0] /Dest (G3.482895) /Rect [273.54 697.62 317.28 709.62] /Subtype /Link /Type /Annot >> endobj 433 0 obj << /Border [0 0 0] /Dest (M6.9.41793.AppendixTitle.AppendixTitle) /Rect [250.62 402.18 462.9 414.24] /Subtype /Link /Type /Annot >> endobj 434 0 obj << /Border [0 0 0] /Dest (G3.473464) /Rect [197.16 443.58 207.12 455.58] /Subtype /Link /Type /Annot >> endobj 435 0 obj << /Border [0 0 0] /Dest (G3.473143) /Rect [244.02 443.58 288.48 455.58] /Subtype /Link /Type /Annot >> endobj 436 0 obj << /Border [0 0 0] /Dest (G3.473474) /Rect [229.02 443.58 239.04 455.58] /Subtype /Link /Type /Annot >> endobj 437 0 obj << /ColorSpace << /Cs8 1435 0 R >> /ExtGState << /GS1 1436 0 R >> /Font << /F1 1437 0 R /F2 1438 0 R /F3 769 0 R /F4 772 0 R /F8 800 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC42 792 0 R >> /XObject << /Iabc2069 439 0 R >> >> endobj 438 0 obj << /Length 18 >> stream q /Iabc2069 Do Q endstream endobj 439 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc2070 780 0 R /Gabc2071 782 0 R >> /Font << /Fabc2072 791 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=S~Y2ȚC^B 0)xpĸ!ld)8` hT:VE9 ?^|v+Os(R.*x P?|۾{w[ endstream endobj 440 0 obj << /A << /S /URI /Type /Action /URI (http://www.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG932&Title=Kintex-7%20FPGA%20KC7\ 24%20GTX%20Transceiver%20Characterization%20Board&releaseVersion=2.2&docPage=29) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 441 0 obj << /Filter /FlateDecode /Length 4391 >> stream xZrF}gC=uojjqeݻ EA-ER&m?cv,Z7f7v_*TUfɬ_&ּtz/ݦ_ x=n8B.BZ4Ahp-ڼhkXF? 4Vcu8!oH|ާuK~?A1$c<,uPX@c3x*|ܞeC5t1-H9'?@OV(WMg݀Bꈇ+tÇ8{WU^ʔ(M&[,I[W#uc 2F~{ Gڮ`GA11:7L 1㺮{\A)+PXqw^>[ @߱{d7"`ptWpZ* bhY>g:;UP>9-P ~|IV8.mx 鑯`}oeɑg%!x.ZE: (=)p"ztƂZm61(q`ȠJl,D!L[8BPƽfEmZ6mf)2.gaD}NQ=^{N!uʴdF8B2VP`VYoSf.Yz Ț*60'*|#,S%u!%6UL! ${@bVaJDF Ql ;62N Ґq@&V@*;h#oJÕu!-BF#TE5RPjAz 7M).2el`uz(sY4zd.Y@J&|5|.V0y#,a`lG-hx(i& x8"=P(_3H:+]"I鹕?O01z93gDqC6!}:#WQ0H̖X9M*A>B #2Ob9 PlGJzdڈUO*OeUTHT-|cDSҮ ֳ0W~Ԫ,ZRp]wb_O%(DQߘ4P`J)*>oksImufE+ZW1)PrTT,B/5VW󳗯Ͷ볛ԃC;ǕχO"R\(|vNtzMT@LıIF#hU/֛Utc ][sQ0pbnu|w[֞LϜ-kTQ޼91xٛ6J=rdǼ3vrƨ@qG+ O30[B@“z^E4w~!Y5`Vm)(*9fکY=tT!1uiiYPUlҸ);pΞW)pWU'f:ͥv_anLՎ\HB"=C'S*7G VYcM 9;Wb',L?a`/~G+TaNAoCCFH}+}U'׫ǯ>un/~cE[7t/48KBWt`NVw+P0\ ssYYZg7z95|JiCC#]]|Ev]p.yJ-,~ݢ8I5ѳTIxj0D4 ,)o7{ w%_:|B4Iq߽] B {GL R^ -{ .Oju2|%^"Z)q`~RF槃)pYt-)n;ZAv^),$WneCf )_ ijw !<7(841Ӥv=k9>Du|` Ԏ%dU37h%>K#q%q?W۶5jOlζ$ծw[M4Niϗvo⢕hbWGNm'ߟ!:iMfqc^SơrUb̧Ő2 uOS*Ⱦl5ϓ RBȚ -6 uQh, v ]P¶[#@F!Qq7:a-d|~iHC"Ұ;b_1[Vee=tl O5y -fbtZ&VWfCT{H BD٩n{0KAK x4\n]N,R%'iPB"DN_"<&2;/di(j~`؃WѰf< Ԅ5\%$[}{v_^)w^7z+O~JmSjq 領7 ^r¶C77Ԫ´aScX)A.yE2?|jO[yغIg䘛g"7l /@j4k2SDɋ&&t=5zH[^NqiA9f!?#f|UC'  6CpHD=} ŭA*y&wNb[s;~#a[% ?e94-VtՖ>/_o<68Z uksY>c(T;OiUVO^U>myV\sܳTWCsS*~E#Kql \ĶDr`[WpδNJxGqN^Z97Z,KX*S,ܖ”dz#0v0sEc2&cBsfziqO#+ߓ؉nE+J:J\́<\a 0FTMC[O"e!&>mUO&yP-ŋ`s=>EG2 p"o2BIo %B;02CW8D&Gq  (F:lKq _tU^C!;2"08huH$A:ڈ?H>'/ÏSGc5Px=23+~p#J/l endstream endobj 442 0 obj << /Annots [443 0 R 444 0 R 445 0 R 446 0 R 450 0 R] /Contents [451 0 R 448 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 1418 0 R /Resources 447 0 R /Rotate 0 /Type /Page >> endobj 443 0 obj << /A << /S /URI /URI (http://www.xilinx.com) >> /Border [0 0 0] /Rect [275.58 26.4 336.42 37.56] /Subtype /Link /Type /Annot >> endobj 444 0 obj << /Border [0 0 0] /Dest (G3.456971) /Rect [435.84 697.86 479.52 709.86] /Subtype /Link /Type /Annot >> endobj 445 0 obj << /Border [0 0 0] /Dest (G3.457937) /Rect [501.48 697.86 558 709.86] /Subtype /Link /Type /Annot >> endobj 446 0 obj << /Border [0 0 0] /Dest (G3.457937) /Rect [162 685.62 195.84 697.86] /Subtype /Link /Type /Annot >> endobj 447 0 obj << /ColorSpace << /Cs8 1435 0 R >> /ExtGState << /GS1 1436 0 R >> /Font << /F1 1437 0 R /F3 769 0 R /F4 772 0 R /F8 800 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC43 792 0 R >> /XObject << /Iabc2088 449 0 R >> >> endobj 448 0 obj << /Length 18 >> stream q /Iabc2088 Do Q endstream endobj 449 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 108 14] /Resources << /ExtGState << /Gabc2089 780 0 R /Gabc2090 782 0 R >> /Font << /Fabc2091 791 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U]hߟ}j]֠zfQNtD'W9F&Y:)WIkp:3ϳ-nQ~C25>S~Y2ȚC^B 0)xpĸ!ld)8` hT:VE9 ?^|v+Os(R.*x P?|۾{w endstream endobj 450 0 obj << /A << /S /URI /Type /Action /URI (http://www.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG932&Title=Kintex-7%20FPGA%20KC7\ 24%20GTX%20Transceiver%20Characterization%20Board&releaseVersion=2.2&docPage=30) >> /Border [0 0 0] /Rect [108 14 194 36] /Subtype /Link /Type /Annot >> endobj 451 0 obj << /Filter /FlateDecode /Length 3266 >> stream xYr|Gaw]/y) irB'S,$xIZr>3X@ ,!/ fO3g.勅n. _ C3hmcsjfaBFnT3 g\Y^MaAii3iP4~uxvݜYd`&7^z`Bap?(K?.Z1̖a9qC0?!sǏ]]_vGaywtF@tM\l'洁 h# q?yà|To /m䭝CsXLry|\_x`w%RcF4#p(8]о?4?`~ow?^7ZҟSKڍmG<gOMDĬIdNUf6,p`):"h @7hqUUD?bQz3X*(+-oV#L}>t=t_Gsk:W~ j! "eǗ-L X GYZ KKdɺgެng/mz~n6 Nb2L_x5fxl1ٳÇ,')qLH6X~9fy# 7 3X3,kx.qcS(YWc=>U93 滠n~S)a`c2='2kߡ;"2a n[`60;3G"cV jݽF\Z,8o]/azXa׭țug4Q֘[GHkTGʒۗOS3ڠx< .6*|sJ?1`C2U㼸\Ae_8UfhfuaF?G@oāFF6dD册-JX 5+`aπ&N*:9G%v)#i{ v,# ,-HŽgd, vDMI,ct)_2E{(B*cHE$48K; &)v iPG!\Ԑ%LRkQ"xA&+߄Zq7W+2.[[,.QFʈeɬ8F0v2rd; #(E %GS|Ov|R|cZ?`g> | Xp2k,9$|!3!p77o}o[NQO'w+b;W&8*j4*f.dFPj4C/5O@i2>tYX]F5|f]wzN^6֎ d;'F;RVpN;c SkU$,pPIq#^Xs ׸ѫ敼eb\M07|.gfrEpȔNUhgy_&&~QR2L]4HBe! )wp$&G&b^p4 )kN| A(q4)^D)jP_5CDkMeêMDw34BP Z {(m'H'ح._ [N{$jn[۹z$dn`e0oGW\CPUqak\\ylGMuY `=yA(2M`J:l`+ JYW)jXS ;5Θ6 ]rؼ1򠬯d8ͼ '9D)uv U`'2F#};;E3G=|V<y3:\4ϓ[`3WfF4L%j_Iy_:t2|a>Ugi" lНّNpKyvG߽ ʚ0"w!9^;՟uR5(Aj4ڕjgjGzf fQ=?URܹ7QGAqJhy<-C28cK.l-~YH>>a7U:3v )k׾17:xQc.ݾvܞroq ~v}68ӦJfnm!퇭Loz &~0 7= [6RmR{mIoa@o^?h$ܽݶ *$/,T endstream endobj 452 0 obj << /Annots [453 0 R 457 0 R] /Contents [458 0 R 455 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 1419 0 R /Resources 454 0 R /Rotate 0 /Type /Page >> endobj 453 0 obj << /A << /S /URI /URI (http://www.xilinx.com) >> /Border [0 0 0] /Rect [275.58 26.4 336.42 37.56] /Subtype /Link /Type /Annot >> endobj 454 0 obj << /ColorSpace << /Cs8 1435 0 R >> /ExtGState << /GS1 1436 0 R >> /Font << /F1 1437 0 R /F2 1438 0 R /F3 769 0 R /F4 772 0 R /F8 800 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC44 792 0 R >> /XObject << /Iabc2107 456 0 R >> >> endobj 455 0 obj << /Length 18 >> stream q /Iabc2107 Do Q endstream endobj 456 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc2108 780 0 R /Gabc2109 782 0 R >> /Font << /Fabc2110 791 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U]dߟ}j]֠zfQypf%fhڴ[쥭}-UaoKdOM\w>?dQHL_%ee8>϶Gwf^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VE9 ?^|v+9)C ( 'C9OmтGŶ endstream endobj 457 0 obj << /A << /S /URI /Type /Action /URI (http://www.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG932&Title=Kintex-7%20FPGA%20KC7\ 24%20GTX%20Transceiver%20Characterization%20Board&releaseVersion=2.2&docPage=31) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 458 0 obj << /Filter /FlateDecode /Length 2845 >> stream xYr}Gaw]rEE*9R*Jh%"ɕtbq̜>}O_-aqv{=.lrV).DSu]|Ac|2%cVNY=^%U^j4<1ؕ i+KZ(UQg`vximZoh84,ڼY%.Cߚ5jg.56E-~A}ޮovۧF=@1#ZA qܢw-j:]ۅC@W7 x,:f B"~EKs=D;`;} > R|ZG@ c/QKc>Nd ĻTv;Ie1z騺+ "#h0hbͨ/tZ( Mh8RXX qo^סԑ#"xUȴǙN:oN@6ӌF(n84ny?&YgC93)4'$IsǾX>R&t8 [ {hzjpXZki"U!G4jr,by>L2U*&{\cP.X16uV{oLtA#Ckϲ^w_ nY [n5/[+չqxͥ|ѤG 6*K0TbI4!sQ%Bw=zl^(6Ƴk‹e ( ؅D OH2޼n&>cJ^WF A,+j:!bĀ[#zF̌(}4bO߃W< &V3C{@wrʦ9eXY ؾb ߊA#⊚IW+8␀7'3`TԨSWi(^XA05ǀh7H | TUBjlO)vET䨱pÀKAr#>jka͛64v7!=/qDyQ,"8aѠ6[I'QV .9>0 +b\VNiRׄYzzh%ŏH, )/XtI0~:0C0-8`)\Bf8Jc(&P"鄚iJ7O7WscKOف-ST[ 4CΤgsi6 $ + ƸrDB78r'j8L2o>8ryihq!t3QU2'!S {h H.u{^IuXUYA4P%WT,?Z;jƮ&>A<{P*O b"a-pJϧ^;r4^Pnq-׭nmmn%U"F|\h~=}mO} 0 z OYHPmlBP6qNlJZ4\Lfbñ ೟pls`|K mhqyND$Uꄀ_- endstream endobj 459 0 obj << /Annots [460 0 R 461 0 R 462 0 R 466 0 R] /Contents [467 0 R 464 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 1419 0 R /Resources 463 0 R /Rotate 0 /Type /Page >> endobj 460 0 obj << /A << /S /URI /URI (http://www.xilinx.com) >> /Border [0 0 0] /Rect [275.58 26.4 336.42 37.56] /Subtype /Link /Type /Annot >> endobj 461 0 obj << /Border [0 0 0] /Dest (G3.457876) /Rect [217.08 470.7 225.9 482.52] /Subtype /Link /Type /Annot >> endobj 462 0 obj << /Border [0 0 0] /Dest (G3.457876) /Rect [217.08 452.16 225.9 464.04] /Subtype /Link /Type /Annot >> endobj 463 0 obj << /ColorSpace << /Cs8 1435 0 R >> /ExtGState << /GS1 1436 0 R >> /Font << /F1 1437 0 R /F2 1438 0 R /F3 769 0 R /F4 772 0 R /F8 800 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC45 792 0 R >> /XObject << /Iabc2126 465 0 R >> >> endobj 464 0 obj << /Length 18 >> stream q /Iabc2126 Do Q endstream endobj 465 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 108 14] /Resources << /ExtGState << /Gabc2127 780 0 R /Gabc2128 782 0 R >> /Font << /Fabc2129 791 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=.PkP=(E'ܱYZ)Yv0m11:}ٓ#,J[㫤̵R|Όl iT`^_ DZ`O6Oaeɐ"ky / ̧9?ɒ F0t)QXI*dxQUh>ΡDHIO;p%4CNZu^lM endstream endobj 466 0 obj << /A << /S /URI /Type /Action /URI (http://www.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG932&Title=Kintex-7%20FPGA%20KC7\ 24%20GTX%20Transceiver%20Characterization%20Board&releaseVersion=2.2&docPage=32) >> /Border [0 0 0] /Rect [108 14 194 36] /Subtype /Link /Type /Annot >> endobj 467 0 obj << /Filter /FlateDecode /Length 3028 >> stream xYr}*C?v2%oP$-YYJhzl3&i tg8>Yŋ/~aʹK!;e֮S֕R⻅M*N锻wީ}gؐYCQ٬/O/LgX+]X~zXTZC N?.zgc˸pUǏC*˫˛aU]^:}xu %SEf).n!'t~ݿY%{t!Ee:|3Xt/jo}[ rrpnaåۛ`<WHKѬME8}~/nYK32~do dF@oyt0 !979$LE%aF`QEןX?HFH3bP&T%!GY?p-I-wGkl]KPK7?]Exx/|;h"[8ŨBRZ%?u7P9D[F0 YU|"=ٴzqx{{vCpb,^bӺL_i+8/f/V`3VHKaRAAdk^X\鬊+X.pc)iZQ1%܄>uGrfvI6$_n|g$GLѩ ?#aeGwD3"A˷S:W07* 1cڪұPuARC=0c}Ey2{WȨPKhG75 O'?JG6$QWSeLGFg!`\m3bYXl*6SJ#5,s.i̴1tL@duqa4#2a&?d ʂ-vMl5IY,@08IG 9)ƝਂE8.m$:ӡf 8x(Rww<"tS:[Ym" 'a$6xZDD2c)wKX(P7h=ì(beMg[>?$v NPӰ sc4d |A bՉKzЉ.U&:efuq#;¢KD37ARB9Ӄ&W8hb]t"I]Z]:R[҈X* dqI웰82禃%50xa6jMW؁( CBㄖz&S:A9FUgZKeCZ4IGؓՆPj٬P&UsbŲnl*Uksdb쑢eYGqdv\Ѣ ) h,-5T񘿬Y*)[p*:5j.2D J*$GQ V҆*2\0315͑g+AO1 ʹC|hMqW0Hܖ d& Eef.`NSmn%QVTn'zۤZm^T櫲VVG*ɂz1u+ծ 3﹐:-o_˗/_RxBjܝQSR]ƛ+`GoW}֜ԿvF;L'=ţ2n7O4ͻ0pOu3ju!B 4 ЏّM 1 y16KÊtU@Vӂ  ql@P;1aMB|YF]5͇K6;>`2pġt/W9j,(eyC7?(5¥aJ3Ml3S[^ ?0 "&7F'}vjD?3].6m&;xNiW↟f붜 -3& K8%ꌁD=8=) ~lD.}Cj2'a,ZI2A7䚴'iFkv>x) 7sVI'MQ}S'ӝqD 6([4K"; Gr`a:6;rR$y% q}ȑ䤭D-OXO =BJJ.!kR柜Ǽ/D!l,s4 åd4G*!?}2*v i$@ oEŏM:P[ ^< 4%'8{[4l i4ytzyXA=lIH(zn`5￰k=6LucLHd{yG+8Z?tj:k R_dr{0{cO"܈3"7'nPʜM6'q>¤N ezYvAZLpL0^=v3ij1u9k>`͆OEnm}ګcdpګñ{vsN{up.Ól)uAF V>Na> endobj 469 0 obj << /A << /S /URI /URI (http://www.xilinx.com) >> /Border [0 0 0] /Rect [275.58 26.4 336.42 37.56] /Subtype /Link /Type /Annot >> endobj 470 0 obj << /ColorSpace << /Cs8 1435 0 R >> /ExtGState << /GS1 1436 0 R >> /Font << /F1 1437 0 R /F2 1438 0 R /F3 769 0 R /F4 772 0 R /F8 800 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC46 792 0 R >> /XObject << /Iabc2145 472 0 R >> >> endobj 471 0 obj << /Length 18 >> stream q /Iabc2145 Do Q endstream endobj 472 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 502 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc2146 780 0 R /Gabc2147 782 0 R >> /Font << /Fabc2148 791 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=.PkP=(E'ܱYZ)Yv0m11:}ٓ#,J[㫤̵R̃sV7Өm?k!HUl)l,Rd!/dy> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 474 0 obj << /Filter /FlateDecode /Length 2825 >> stream xYrܸ}<7{dIcNKUriggw'K,)V>#_ CFL6yF ?2A$!Q ilYoW߯Ll+eZ(!ULw":/s^9٧Ain3I*S_^NδUJd_ެR)fE/ݛu4\Ed.ྗ}lKݗ6qww+^]Faw_/_-Ĉ&ˍryZHy" _AIɟv׻[BOrsw`.1%W 59!]̒ x*;k˿ɔƌN~F}ٮw7FcCZkK LƤZ憟߬1^c!5+u C?EZgagGzc=FhOBsI49:8<)Hz= 쁗6@ Ac!8+V`򝴘 xP,ewKE* #= #N2ݷ"D31x1Jtm10q^{f<58$Ry; gF!;9Jp}iX]$j88-u?.-r^miMXz($':n iN V+J^`OPeMO53k ,U R',6P[ XN۩DQ;-JO gXt8t .,-m̎;b~ш' uDMGTؐk4qU!r4r8\'`w.Tf0oᇭlbifj*E{=4]E9V*Qpg$+BgTn'v8S)v4Y(F2\IF2qEՊPfr(~cjŝ̗\ĺlmF )7 %EANFJdG@-pD/"h#{#N1 «3 elNGPҖbU)dR Cޠ+e8FAXH e=O+hCebӧՌقC|FF$LH"cP\@9\.׌<"*sتEDQu:yS!UWqɂlcP*Ubm'ѳm[|(2=1ѽ8DpڣkjNugoo^h}?Dm2Tk]nU6';l ރ\W( ; `ӓ |7=pSzUqz >ݵ>4QSJ=C;*d A_bT\NW{P Z(bJBJ'_g0xrHW[@7&0LWk:O!&29g  ׀"N$Ym2)izAHiĔGéNͦbEzYh ^Kc,1ĚB'#T,} L5X_ P@B:k61~< MeT+phbWڒPYa (HA qV ؃PXlhW9≯ "ק@? 1ڥl:"aRP2댮f򋉣b@0 71@dM!R&0:AYHS(Bq6̣Ɏ_5Gb$YpPơ٪}5jܝWՓX6uɸ<ʛWEVQ~lW.&3 e*@xjt1r2f{>tGbკgf ap1ۄCHO;4ۛi9L$I>q‰I7-IOGҞ#.Vn+}=ѤCn{Gc'qc:L Pù5>y1OͳM- ֦[-SC\0_kPco5vܔ۩ku ܷ65gb$+MtF97\WhS>+vч;j*Rt4Zno{z{<nJ+{x{c)h?5Of 7S{nӳǎ_*`GOdwcvMnxuve 5g_m#;xG$`͖\iyݽƱ>Aup0 6/PX58Mw)lcg$]DM Mp1jomU,k3}i=`k[kov-{ ʌYff8W.q(a3RPvdfpȝ68$%beE?`ZF{3 %N3`r^$hmzI%7~l_xh@tKZAcť=a.ƊKKSK%K7won endstream endobj 475 0 obj << /Annots [476 0 R 477 0 R 478 0 R 479 0 R 483 0 R] /Contents [484 0 R 481 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 1419 0 R /Resources 480 0 R /Rotate 0 /Type /Page >> endobj 476 0 obj << /A << /S /URI /URI (http://www.xilinx.com) >> /Border [0 0 0] /Rect [275.58 26.4 336.42 37.56] /Subtype /Link /Type /Annot >> endobj 477 0 obj << /Border [0 0 0] /Dest (G3.457876) /Rect [217.08 378.18 225.9 390] /Subtype /Link /Type /Annot >> endobj 478 0 obj << /Border [0 0 0] /Dest (G3.457876) /Rect [234.3 359.64 243.18 371.52] /Subtype /Link /Type /Annot >> endobj 479 0 obj << /Border [0 0 0] /Dest (G3.457876) /Rect [217.08 341.16 225.9 353.04] /Subtype /Link /Type /Annot >> endobj 480 0 obj << /ColorSpace << /Cs8 1435 0 R >> /ExtGState << /GS1 1436 0 R >> /Font << /F1 1437 0 R /F2 1438 0 R /F3 769 0 R /F4 772 0 R /F8 800 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC47 792 0 R >> /XObject << /Iabc2164 482 0 R >> >> endobj 481 0 obj << /Length 18 >> stream q /Iabc2164 Do Q endstream endobj 482 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 108 14] /Resources << /ExtGState << /Gabc2165 780 0 R /Gabc2166 782 0 R >> /Font << /Fabc2167 791 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=> /Border [0 0 0] /Rect [108 14 194 36] /Subtype /Link /Type /Annot >> endobj 484 0 obj << /Filter /FlateDecode /Length 3367 >> stream xZ]s[}L͔0q>Ȕ-ٲ'fFt$N3{v$z6}X=_YNuLJ[gWRލ\C4Ze6S׿bPҩhY.Xs6NNG[eݨ@QEEӫ683GXe- :9tǼFZsmqXozj6}:z4S"']P:tp?F#m{D_7gLu2j!9i\h_ṳ7_|_{e}Hj,\\_?/֋R=\]\6@[!h'Ic9F"INi>9޴z3be\mг^9DbFH޲u##CLsWi!fbܭG^o`7s=ORRfX%٭\hi- 0[+i5>\Z7-}|ϯEYAf+"dF)bVcgt&Z~Z-r.N#2p6`CXryx5x5_Ճ瓐0^Meou%|\]/L]cNnO +EK`rAAFN{_ Ay ey`;;EbtU~1/ `]Dǻ#H1|:!o#ax6r[_K$gD9 qW,vY0#eB6ID_=tPC)2qX1$<m"2Z(&f;bF4 GGmCr_s\{fx_t6< f1{@cQb+,SҜs6A:Ya76r0̟{ A?6A8csd_Z#5Soq6iHl 4$ yG-9ASTqn#iL3iyj=OE! 4 +@lŸxd44=#QJg}YDGh@uaC?1i9+fad* ;ԴԄ<>haTL4- LnHӱH:a#iYZi.^PMxZF@e+إ]!WIzDQ7; (1` b^9ɂ|cө$]&.bn3ss|'_` n. yKNlO#_`t9vo;  r2YGOv-ȑ^L>I`` ߒD8C8AY 16"+RQElps#!1 Rs@`Pw+*_U. ]cc J6VYE95'p6@*Z@L4>L,8^7=!w5`=Q l!ǩwo#*s0[ͽU),%zJU')bOܑv@!&bB:d {@%"FFO UAt xwrZٔ! #~$]|c[Ӕv:JN&MZu b,gz+\:zFJ?p6ǩ̏?ocMcߴn7m9$L/t ǝO'g8="@Qh˾RZN1k+_h^٢%(pCr;4 =`$%{O;>`}<~ⲓh5=~I`$X!cot?!ӓ/*ܖO` ["81~&0< YbKFPpnT! ۜiϫVSњōYTh-Wkv!N@;'Er3[_Ckg+Or)`>[ߒF&{_I˓vLEV ..? Z-%]߭.7kT qe,lujYQ?r}b6Wa/ZG;S6[շֹ!ZfgxZ.1^Rz]\}I]ULvi7ܻ%^qk5nY>)Ǩs烴LY|v(hink.I q=hin=P_u]_ _ zmD5K 4DMeKj60ѡY-odab/lQO6?"Qb9ltܦ8&- skkmpWJTX1 td=lzr${8eo %Oן}6>.#ȯp#ѸSmC\_'\Kf> LZ?".xL :QOTLIMMpvG?CŽ'Pj:C@~Haջv#a" }8=Tcؑlvbqp7^vmu;n\@96΅}"Rm{l Lh*yc,N=v t9w_ ϋ; endstream endobj 485 0 obj << /Annots [486 0 R 490 0 R] /Contents [491 0 R 488 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 1419 0 R /Resources 487 0 R /Rotate 0 /Type /Page >> endobj 486 0 obj << /A << /S /URI /URI (http://www.xilinx.com) >> /Border [0 0 0] /Rect [275.58 26.4 336.42 37.56] /Subtype /Link /Type /Annot >> endobj 487 0 obj << /ColorSpace << /Cs8 1435 0 R >> /ExtGState << /GS1 1436 0 R >> /Font << /F1 1437 0 R /F2 1438 0 R /F3 769 0 R /F4 772 0 R /F8 800 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC48 792 0 R >> /XObject << /Iabc2183 489 0 R >> >> endobj 488 0 obj << /Length 18 >> stream q /Iabc2183 Do Q endstream endobj 489 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc2184 780 0 R /Gabc2185 782 0 R >> /Font << /Fabc2186 791 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n`* w/x(R\T1B2h7r K$V-dAQ\0j4GCIB%97u%9!^\y( ^)Al\9 " ٷGӮBxgH h@1H!6hxaxOBD>.PkP=(%NW!ӘV tw%BJC (aNs(_|۾{w^ endstream endobj 490 0 obj << /A << /S /URI /Type /Action /URI (http://www.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG932&Title=Kintex-7%20FPGA%20KC7\ 24%20GTX%20Transceiver%20Characterization%20Board&releaseVersion=2.2&docPage=35) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 491 0 obj << /Filter /FlateDecode /Length 2907 >> stream xYr}*g\!QˋBI\^mxHZr39ݍ.'vӧW ~\ ? U QujTg])E=l?,l} vUSV?.WɇdW< ϽL401;mezqtfQkhUTx}}xyimZoh84,m.WɶƷs:_MKͺMڮ4WwL,j#ݧԫj}9!F Yn}-:!B_|iBr}s}G2QVpE SWπRaXq]ct|sji:k/ ?gՇVqt1Z~6U\)#GH׹HwuEeœ-|c+iCgFlşkS{p+ t8#HKtC_;蓊f*"hjMUAxtrv{ެdx7,0h9;?8zA}ޮooOVYmil!>n(]m 5.Imnv!FW7 x,:fw B"򛰗}wm7}>J|Iì| E찗KZ>N)rwbvV-#G KG]qHeFCAK}kF}]ivH4Ɏ&<@A:L)Ţex#xZܾyzpy<288ys ӌwHLݪqJiB,2vY}:#[ [g4)5'$Ac_x>qI*+حhb*c =58X\kibC&iYjby> dxZdsBf:W~gxGNQ;+숸'v&⏷6 MfC56$Mmf?-DHX"G!! *~ok6Kx~(ed638LCMxos3@~ ӴX{2q l"K]&ttK*6"/9%a#fj Eۅi"˨}7F&`[8:;mc41$i$@iepLu{-K6ZXp^0TV+g W2cIw]䞝ll^hl(8~ 0U xTq^o.bU0特߼wTS %}꼆S.H<ɦ-2Zgf.XSA8#fӏĴ!GcWVwP0O,88<w$L (}&5R Uі8dqijK|ϱ;XnӆfcpG̹C$.SxrQnRX$u<T.#@;!R(/\Eˇ"-%rHڽaC K"OdAtQY8l{m/xl'Y׶HZݜg}`Xc 2kT 8.%*n[  x_EN5Y!ӇFq0f.sٜӥbq,.S4R_O#@!/g0x} D;#'}w, jdʋ{\4|!D5!fB]ƒ2-!*:ê3z.t# @ N(UTL@F#x4ja8,qp/cjl>=GKRp^LbB)-3C8eNG1qc2^d6.96:Kb(9!D&4JT m"Oҽ<bȲSWb7E`}hCKut %x?E?]5Vf)n2u~?>p)YWS |16 5jvjɗەZݵ by\cݣ̸'R1EkTRYHfm CßV\6C @tOT?H aŨ-!*>n-xln K?O_@L4!/7:O"mQNB7H- cK>!v/2 3:?fo|.[V?N&?b?gPL03~2Oum2n]s6:ǹ Llاݬ g> endobj 493 0 obj << /A << /S /URI /URI (http://www.xilinx.com) >> /Border [0 0 0] /Rect [275.58 26.4 336.42 37.56] /Subtype /Link /Type /Annot >> endobj 494 0 obj << /ColorSpace << /Cs8 1435 0 R >> /ExtGState << /GS1 1436 0 R >> /Font << /F1 1437 0 R /F2 1438 0 R /F3 769 0 R /F4 772 0 R /F8 800 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC49 792 0 R >> /XObject << /Iabc2202 496 0 R >> >> endobj 495 0 obj << /Length 18 >> stream q /Iabc2202 Do Q endstream endobj 496 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 108 14] /Resources << /ExtGState << /Gabc2203 780 0 R /Gabc2204 782 0 R >> /Font << /Fabc2205 791 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=.PkP=(E'ܱYZ)Yv0m11:}ٓ#,J[㫤̵R̃sV7Ө-~C25>S~Y2ȚC^B 0)xpĸ!ld)8` hT:VE9 ?^|v+Os(R.*x P?|۾{w[ endstream endobj 497 0 obj << /A << /S /URI /Type /Action /URI (http://www.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG932&Title=Kintex-7%20FPGA%20KC7\ 24%20GTX%20Transceiver%20Characterization%20Board&releaseVersion=2.2&docPage=36) >> /Border [0 0 0] /Rect [108 14 194 36] /Subtype /Link /Type /Annot >> endobj 498 0 obj << /Filter /FlateDecode /Length 2932 >> stream xYrOݮ #;,'c;URJEc)>l\|qνδYdCpp?YճWï*.B씱ZNYWJV6A4g*N锻Wwީ}gh; EU67QA@ I|&j5WMb q C&!g}?pUAǩE&8Q4dÙA4) 1%eF/yjy.=?OO8 5}>|x6/F d"?6lg8+"+.HPTzPo0XA%F'(&4^l&ŞpD3KN?x9vu[ԯf(>4 $?j#{;{8P^a̸_Sl@9n}f>[?oU?CI{5JYLw-+J̛z%͖+%5xrrbᯗY>Lz6æ7t> endobj 500 0 obj << /A << /S /URI /URI (http://www.xilinx.com) >> /Border [0 0 0] /Rect [275.58 26.4 336.42 37.56] /Subtype /Link /Type /Annot >> endobj 501 0 obj << /Border [0 0 0] /Dest (G3.459047) /Rect [219.18 211.68 228.06 223.5] /Subtype /Link /Type /Annot >> endobj 502 0 obj << /Border [0 0 0] /Dest (G3.459047) /Rect [219.42 193.14 228.3 205.02] /Subtype /Link /Type /Annot >> endobj 503 0 obj << /ColorSpace << /Cs8 1435 0 R >> /ExtGState << /GS1 1436 0 R >> /Font << /F1 1437 0 R /F2 1438 0 R /F3 769 0 R /F4 772 0 R /F8 800 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC50 792 0 R >> /XObject << /Iabc2221 505 0 R >> >> endobj 504 0 obj << /Length 18 >> stream q /Iabc2221 Do Q endstream endobj 505 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc2222 780 0 R /Gabc2223 782 0 R >> /Font << /Fabc2224 791 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U}IDURj)\Όl iT;/d"W-j}'dH5aSq ܟBd#S:q|sLѨ\u$sx2W4@wP"]@ U8Ρ\j'-:A/} endstream endobj 506 0 obj << /A << /S /URI /Type /Action /URI (http://www.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG932&Title=Kintex-7%20FPGA%20KC7\ 24%20GTX%20Transceiver%20Characterization%20Board&releaseVersion=2.2&docPage=37) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 507 0 obj << /Filter /FlateDecode /Length 2921 >> stream xYɒwU 7}"E4G#DĨՒ183 _f t@Շy;/lT9w)$buʺRJwY 131Ny||P%wAPw*QYi+Otg?- dtg`BcRim|w^JvX{?ߺ!ԟ )ݠJqsȴs׭~XKaswۛ`589!F in!B?~VW_^]zWk;=(wpEf)3g 84ٽK?L\FY;LxI~;۽ʾ?nu?tVijGH\$]H<7˫!(o~D]دC/oyMeXج%<DS. AvC*jv0*gGYG\!~۬nn.7wsV nQ»5Jԭ*#ﻫ<sʻYWPPT_{IpnVcc}zklru" -Y z^$9v <ϵR8'wKK+O ^9H-#G KGٽB)2ʌ-C2mGhL6X*^M9dAzW1vj(IXm'ѳ2uoV۽ {:j!6W&KEӧDjXb~oϟ?5z,af吗XQ!NuEܾ1,j;}KV .k+OO 5fle4gK+pRXKWbfI XGø_8=òiXhќnH:1DQO؜6͜3":S6p++ll O:eR$`CMm֨{6?L(m9(69:멾Y_ h`!r-&o$B:LǖspnƋzɅg(Bβ?kgXw[fz-~_)qW8E¡&u»$ %PW+bC@ok^ )-#/ǽGG7ӓ_j8Qg¾Ls_l ⾖X#w^ze%FfNʒ'r]@"{Y,Gz_ 'lLkAs$sES$Ưsc\/zڿޱ +lOiVf շ\i퇖 N:Ƈ(I!s:O H־[ f7Vj!@,2>g"3qC>_ > endobj 509 0 obj << /A << /S /URI /URI (http://www.xilinx.com) >> /Border [0 0 0] /Rect [275.58 26.4 336.42 37.56] /Subtype /Link /Type /Annot >> endobj 510 0 obj << /ColorSpace << /Cs8 1435 0 R >> /ExtGState << /GS1 1436 0 R >> /Font << /F1 1437 0 R /F2 1438 0 R /F3 769 0 R /F4 772 0 R /F8 800 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC51 792 0 R >> /XObject << /Iabc2240 512 0 R >> >> endobj 511 0 obj << /Length 18 >> stream q /Iabc2240 Do Q endstream endobj 512 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 108 14] /Resources << /ExtGState << /Gabc2241 780 0 R /Gabc2242 782 0 R >> /Font << /Fabc2243 791 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U}IDURj)\Όl iTM`^_ DZ`O6Oaeɐ"ky / ̧9?ɒ F0t)QXI*dxQUh>ΡDHIO;p%4CNZu^lM] endstream endobj 513 0 obj << /A << /S /URI /Type /Action /URI (http://www.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG932&Title=Kintex-7%20FPGA%20KC7\ 24%20GTX%20Transceiver%20Characterization%20Board&releaseVersion=2.2&docPage=38) >> /Border [0 0 0] /Rect [108 14 194 36] /Subtype /Link /Type /Annot >> endobj 514 0 obj << /Filter /FlateDecode /Length 2853 >> stream xYے}gag\K$lNReTk7{wm.I]Nn4.' xv7{XبrRH*Nu~~aSkA}֨1Na|* w ܋CST%jm6+mjL~Q᫛Qi 5jao s2z-*3b  vʿg+r-R5N ]4ٌ't~L4OW@!EeKi,*km~w*v!sLry~_~xuw۽w 0 4zi4چfol*n\?}Ff-?uoGSpqQ%Pl!LMDN˜JSFi ltI?n? R1gHf=KrJw/7#{> #ߗ?qͽ *(q;$j2tس9h8 Yѡ숸'v&⏷<8*2ꈚ@Gk|]$ڏ "2l #ؽTL=[Dc5Ne I}8ImX28ALCEX]sf[2߯Il"KG 8PQi-e-$7G G#m8DE1OD~erZ(*``*245ʼnJW82j%UХxHV|T:qPTRǝ*/U4g<|ZNp&*d+vP2$UEIF2q㮢jEX{*Sw2_vsbX,ŦDDceD˚1b 's9~JAcl" XJٜ]E)dr CޠT* Ȝy:ȗ 9A(Hɔ5ZF{Z,ŦOYrwSLqNpVhVR# haK^D(2e#Ա|s**2GK*"vB$:IHxULw73T$UbmwggoF\||D/,X1%e6ݽܖv,/k-~wAf{^@utKܓR縄Ts2h"㙌UaK@Mᩧݮ9^d2Ct f|a ln,0cqUօFTj [8F`V8!= qfe T3|,iJ1Nj8~ wcYZ0~l J,G!00o#.( xR?B#FS4\8ܙ- ۬c[($-Uyܑ<5иڊ7rIk%Z V+g, I$j"&4u h{vl1]|iL.zHo 7/6mGUٍJAJ4O(~͙V!Pr5o:LC'ŕ}n]<m9?*9e@{~w="e8гTnV${jw=?/w![wdM5,T6ֵ5L-)`Y c!W:Kjp6ocY>}yyc! gcȧ4g7 Nۅ366e;g4Wnje3~&IlUNt@A1ܩNͧl^'v'm S3xJl>#VNr8ܝ%; œ68cwj[il6'm81=ml8ii߆9y?:$}Oܾ s V\>5EcIԁ d_qp endstream endobj 515 0 obj << /Annots [516 0 R 517 0 R 518 0 R 519 0 R 523 0 R] /Contents [524 0 R 521 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 1419 0 R /Resources 520 0 R /Rotate 0 /Type /Page >> endobj 516 0 obj << /A << /S /URI /URI (http://www.xilinx.com) >> /Border [0 0 0] /Rect [275.58 26.4 336.42 37.56] /Subtype /Link /Type /Annot >> endobj 517 0 obj << /Border [0 0 0] /Dest (G3.459047) /Rect [234.3 82.14 243.18 94.02] /Subtype /Link /Type /Annot >> endobj 518 0 obj << /Border [0 0 0] /Dest (G3.459047) /Rect [234.3 100.68 243.18 112.5] /Subtype /Link /Type /Annot >> endobj 519 0 obj << /Border [0 0 0] /Dest (G3.459047) /Rect [217.08 119.16 225.9 130.98] /Subtype /Link /Type /Annot >> endobj 520 0 obj << /ColorSpace << /Cs8 1435 0 R >> /ExtGState << /GS1 1436 0 R >> /Font << /F1 1437 0 R /F2 1438 0 R /F3 769 0 R /F4 772 0 R /F8 800 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC52 792 0 R >> /XObject << /Iabc2259 522 0 R >> >> endobj 521 0 obj << /Length 18 >> stream q /Iabc2259 Do Q endstream endobj 522 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc2260 780 0 R /Gabc2261 782 0 R >> /Font << /Fabc2262 791 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.n;N|{@ VBqǮ@{IRS;߼q77Z fڿc}ЂexAo}Wj4hނ׮nwwCJNYzrq" UC.ē&p#dRb,FPH !`r9Y~NxNWXy"a`/O -0µ ~s2@ۣfiW!g3ԅSQMݷhqf!._I٧j gVă;6+)C+az֦b/mk: s[({L̇h*Ȩ%#5X[%yp3Ρ\b'-:A/} endstream endobj 523 0 obj << /A << /S /URI /Type /Action /URI (http://www.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG932&Title=Kintex-7%20FPGA%20KC7\ 24%20GTX%20Transceiver%20Characterization%20Board&releaseVersion=2.2&docPage=39) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 524 0 obj << /Filter /FlateDecode /Length 2840 >> stream xYr}*g\KޤIBWv^mxHFr39.' 9 `g -~Y~Dž 2%}>RVHcsayabBQFR$~Yx'2' ϝL0dJsIRr8:Bϋ9s _.wR)j@ic256t7U<27WwMvo׫u>mut}'^_=Fa﫯`Ksd0 (OTӧ6(Yr}ƾXAcd>hJ=aIID@[@p l cuU㸸ՅrXd2?8TF\43 4'$AI/3:n iN V+J^`OPeMO53k ,UX@N 9YZC%lh@4SQ;-JO gXt8t.X.[wN8ãO(2ꈚ&Qu)2iHCh6:28^1tO&L-]کƼuH<?le =T1%z3`m>u y[+;vjDL;:a mX6<#48r;ѝL!h$IeV%d ]B /d=CC+dʢ+"q)J5HQ)( z(v2R2';h'|9l027=^(`(cs:JH! 2D H ǖ97t/(ZSa2) F7rY׌ b5f%5B8lI `SEdP\@9\.kFV9rlEDQ,$u`-^-{rdlcP*UbmGѳm3.2{xc{ CQ'T{2N9i9[o^|y ؂WP,v\Y@{uMˆ6~tj4$] WFMָz|HDlf4R!+ٌc*hl/P z.[0ՙ,eWޒd F(*5&^A"W)Ro)rBq/Xg [^HTW:[C|M|&&?CFh~ !Z$ZcaQb;*ةCAg={(L<vI  =&ҎaI8]ȧCo5M|H\ZWj~jin@n: `DNCS Bt/[ hЕ7/t`Om6η7XHKl=!H3q|`9g:XC3noPf(M:@4;7iwt0za2܄~e88g98,Y?``vuŖ;47yIzJƹ 7|;``T05դtTfgvTFa>J:0y endstream endobj 525 0 obj << /Annots [526 0 R 527 0 R 528 0 R 529 0 R 530 0 R 531 0 R 535 0 R] /Contents [536 0 R 533 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 1419 0 R /Resources 532 0 R /Rotate 0 /Type /Page >> endobj 526 0 obj << /A << /S /URI /URI (http://www.xilinx.com) >> /Border [0 0 0] /Rect [275.58 26.4 336.42 37.56] /Subtype /Link /Type /Annot >> endobj 527 0 obj << /Border [0 0 0] /Dest (G3.465315) /Rect [162 599.58 205.68 611.58] /Subtype /Link /Type /Annot >> endobj 528 0 obj << /Border [0 0 0] /Dest (G3.459047) /Rect [217.08 674.16 225.9 686.04] /Subtype /Link /Type /Annot >> endobj 529 0 obj << /Border [0 0 0] /Dest (M7.9.83068.ReferenceCont.2.7.Series.FPGAs.and.Zynq7000.All.Programmable.SoC.XADC.Dua) /Rect [293.46 361.86 322.38 373.86] /Subtype /Link /Type /Annot >> endobj 530 0 obj << /Border [0 0 0] /Dest (G3.473484) /Rect [197.16 415.56 207.12 427.56] /Subtype /Link /Type /Annot >> endobj 531 0 obj << /Border [0 0 0] /Dest (G3.473143) /Rect [212.1 415.56 256.56 427.56] /Subtype /Link /Type /Annot >> endobj 532 0 obj << /ColorSpace << /Cs8 1435 0 R >> /ExtGState << /GS1 1436 0 R >> /Font << /F1 1437 0 R /F2 1438 0 R /F3 769 0 R /F4 772 0 R /F5 775 0 R /F7 807 0 R /F8 800 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC53 792 0 R >> /XObject << /Iabc2278 534 0 R >> >> endobj 533 0 obj << /Length 18 >> stream q /Iabc2278 Do Q endstream endobj 534 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 108 14] /Resources << /ExtGState << /Gabc2279 780 0 R /Gabc2280 782 0 R >> /Font << /Fabc2281 791 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [n`w/x(RIE\1%sw4_qR0qdd5F *c*h;ɘҜHr$sBDQ@< q-lb@Tj92;$ o5"]zP.47ucV(H!6,>U]hߟ}j]֠zf'ܱM^RuTkQ>U}ɖD.UrjQyp3x|1$ɾFxy /K"r,,dXy> /Border [0 0 0] /Rect [108 14 194 36] /Subtype /Link /Type /Annot >> endobj 536 0 obj << /Filter /FlateDecode /Length 4886 >> stream xZ͒vO}ޤ t\xƒDXյn(gA]^!<]}4@p<#lntkO>݉ yӘ*ܺ(MʶmvyruaW֏ZS>e6*.^暼p3k]UTg7'gyQIt7+Y|&s9b+)KCWIkiVakoҿξ;f"1E 6q,;@ J=!q<3)@'M)s]^Bgrk> ^MUzHe̷~}oSR |^RfshNaB{-y|r{2cc =1.w_ "}EmEm9(C5.A%as%4<dA#@ ob7_?*2$ mj,YEH =S%Seζ+)7ϖhǟKn:ݥXI'%~V!&]siG I!䕩j"ɖYu9,33e bZͽ\zSj_,{YUN~\(Tɕl~9yj2~Z~,naٮ1Q_v ͗- ̯Y͉˲5.0$WCpì=dW`t&t_^9Bszz@g|c#8:P~/r_@wHe cz0W4+rZKU^ }uJwV2P*m+%⁅ia C<@EyX߄6[AÈ0#t4#ҖL'$5G7gEzq4q(osCa>E48l˜F6u=X@:s^dq2R- CSQ5?j`z\ZS#|n MxPd_0Z`'k1~SĦ㺃K%7K륗|?HU0uHe ;KlQuz%Byr%S${bg~ӶPF 0xdeD gm5NVDW *=Ċ%ҏ #gucVk=ifE2-7N˰W:Ԁ=L1q[hGtc4ffyCQ؍ff^*V7´M L (ydFi^Q6B`%@&@.qL@ )QXyeyj`FYM!o:-VHaQpTj#" 1U32m ߲N쐃r)y31'IurCFd$lUFnRT<|ȆL/Tu8pbEYĈ˂^#x @mHL3V9%JQG.* x(9u`_3`:E'](cHIʂmi 3imJC2FPd#Q[2!m)(1}}KFʩcќD9!)%*xIR)*U%A=G,HPF~J:&Cۉ,$N}Z|/ 'C\oǢdA9|H@(ʲɛ 㬕:U"ySUcYV,sB5'Yjb3KRn\Q8if#O*_\[r[]ZѢ_f~\W[ivGddh<( )֨Wc-e- YlZ{ã#aYXT!JO졮'GmAFQɦ.\e b5$Hȇޛx"XUW*Lvtg6(].h6Wu)(Q;7 jiy7ax/~U c>42B^n/ oUfLTJC|5' Dm=o IS&>쮻= )E5ۨO-мOac_*Yy\uTpC#i8EH*5Dk=^ر 5 L$i`Z%Is:00Gd͑#׺pQ~eA!R,LAg+#j4iϣ"tA 1QXpO[L=%dܚ7d<1zD{~ }v'WWWhQ}yv\RD^4^X&l8^ҭ]~u[TNlM>_/Eyکn q1?tnOSSeKKSe- p_t 3)]SYwt-|y nĠ[ICl8+ۃףnޑ̭f o9ݲAb}RW׿Ar4ZҀCEuMGP@^]ku7X+R ¸얂/o6|+b' ًg;P6Augȣ~3&Pv׭[Ϩ>(t bV&gAcMҋ~s0qVs(:8*C{V]&ZBF=jpApכo"-{1JGHw &(zZ. ){'7\9x^&f;ll99* uGF؟RZ& 5S*K2a<(TN_ ACFT1LbFO_D'-T?ʍL %a_A 26#'y>Z*c7i 3 .b=On%ZQOzktɄ'(܋yɮlbcNl/Tq-:z=N(CU'!4nު)y#e9K ȵnxU&PƐLW;=<,<꼘:g]܊lGԆ 7Ko.~uֶ q(i G%-ꩾ/ϲ1u6 { |ctQ7"Y^,Ut#ë( J?w}KFoG]V[ 888g!C}qO\.ɏu]T ,*zSqC,TC y(ddk |&gaj8z| ÍM{U^gɂm)<~;*H@mߘA;\fjET+s?J "_G7*xaF뮙a=Y#8%د~3)Ӛ%Z߻B68T.NJX m4_*KQiy}jy솨{hbW7[Y*LN"S u Cjлp{1^Kd$ 晤u~Y!Cc VMj#o\HVبpdȁ A>1*02K-}?@ml-؉ױY2w<4 E;׷lY*o1bݐJg׬i,YwZiQ\g#"̜ ]4?m^*|lm/=Vz_ĉdLf[uŦվbӵjүFY1S<[j蕕Ccjkq7 IYp)WBrR*ױ7a<$gqF+AM20Bg PO2=sAfk@_]}pv:.oU!GO֔ʺ)5_Tûld:󨌕ˍMWBQHWz=4''BV*ɬ)45NZٵܠǜWȘheCa2+mOԦbu3_tK?n2ڹ{Blx{&I FC^KVU}裾@,\l ! hLS{?{>P4m+7$,5p QYS?q6O<>%濟)+ac}l +oB9"mR𭜹G5= .5ֵMʞCzBx viGIwEO1يYЎ%$[GcB Q_˙ M{\9ͺb`'rL%F6Ń#·f7qL&dۄwU%sj0 kY{PO^1LۊKjʡ/,|\4^C9⡕BF'!hbFDYsco j$K5Qlg}o2$C&z PfG jS|}z ߧ4|OѓЄKGn Z rr"~䨫H<| }Af'eepwSyX?)yYz+|}r-w+'.[!~ @x#8g=xN3UoE"Fwsq_U X^S}NR_!i(oX/~|gi>AX:Y endstream endobj 537 0 obj << /Annots [538 0 R 539 0 R 540 0 R 541 0 R 545 0 R] /Contents [546 0 R 543 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 1420 0 R /Resources 542 0 R /Rotate 0 /Type /Page >> endobj 538 0 obj << /A << /S /URI /URI (http://www.xilinx.com) >> /Border [0 0 0] /Rect [275.58 26.4 336.42 37.56] /Subtype /Link /Type /Annot >> endobj 539 0 obj << /Border [0 0 0] /Dest (G3.459903) /Rect [340.5 537.6 384.78 549.6] /Subtype /Link /Type /Annot >> endobj 540 0 obj << /Border [0 0 0] /Dest (G3.473494) /Rect [197.16 687.6 207.12 699.6] /Subtype /Link /Type /Annot >> endobj 541 0 obj << /Border [0 0 0] /Dest (G3.473143) /Rect [212.1 687.6 256.56 699.6] /Subtype /Link /Type /Annot >> endobj 542 0 obj << /ColorSpace << /Cs8 1435 0 R >> /ExtGState << /GS1 1436 0 R >> /Font << /F1 1437 0 R /F13 816 0 R /F3 769 0 R /F4 772 0 R /F8 800 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC54 792 0 R >> /XObject << /Iabc2297 544 0 R >> >> endobj 543 0 obj << /Length 18 >> stream q /Iabc2297 Do Q endstream endobj 544 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc2298 780 0 R /Gabc2299 782 0 R >> /Font << /Fabc2300 791 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=.PkP=(E> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 546 0 obj << /Filter /FlateDecode /Length 2902 >> stream xY[۶}LL%.$ؓvڵ2LYKe#iw %ٛmӇ]w@̨ūwxg]Bt*ml];1]?8a\MEQuzx|c+Áw_Xjulk#kӵM糋F58Q|=\6^͗3 ?_[V/vz5Iż -^JfpN],veanbWlWa.F =^dTCdCֺ)a5l()>v-¾cBZ+;y @@| ݅7GK.:* #x!HBz_[mߗ/1uZFڤx!@| ӮP]XwI1a!aU6fP/^ v{(b fD$Ӡ$[iԭ߾VfEW r̺U LN‹ݺK<q9{;)s:rQtOv2kBv7nXt]\;ܳ"J2b "PjtZzfsj5C0͜ QnYɮ(M§ҟ{lwfcُ!~ǭn At(BhWcuS%sY%ޅ,;euAIފ ʱ+H182SA9z5'$խM:;{Bl<6x!h&1֓)ģ{F{L1-2l2q3 1ho6&Ai8p:< 2A}&'&th Gsr%AXx/𸁦ɝT+Fžb*o 3 赖 Xr$ѓaźrk@dd#zu[$J #~uOQkvM]֙? 7 CՈKjxfhqԆ^Dݦ *迷ydMZX5n֣ 7k N{pph[Ihga$kdDX|(69։hR¶iP5z2=pttpܔqjjgu`# .y )d`,ݘkjXN6aXf!;FE( L J TSld1 Qe%:It:1!#BFd" iB5KHpQ“mHqR8^ sJMعqH3BTeI,tj&=N̝,͎ 0 /Rh Fwy %tD3&,;#ḥ!LnCdjv8,Qn8p&!+KvV0ȸTi83άFM\C1V&c-0R4C`^.JkFciQ8zV8%R)Ʌ9 fAExLJi* f&${M}wVoWFnyȠzSOgKn^/6tY] z:,͸vY\.VA:8_t6l":ۄnu\qlެMiQYQ6Nz5Wtٮ6KGߟ/c ozE`ʪ->a/vsؕGb["j_ .mqpw}#?hM.R{Dbp*HsfQ:HlzC}V^&"'.;oW /q-2ľ/~+~z̩F{l*zׯ}uW4-۝zR^ P͕ZTSlT@JrSE"ث1 3u;lȥCCBki.2d4zAf/P DI#lZy6\ozF`؝S\*7M7@&~X :6C"׸o[ Y_KgZ-A  l=<@5T!з ۜxȁ&!&&v;a~Gڌ[ױj[!7E@}z}/;~.VۇTGկ}ͬ'cO>4vk=./9@#B}U[ulLJuF&b{`gM=9fSTCo06݀]7 )Y֝Ag='=!]lI](π+ }7.dtNoXϽ-Ym V!ѭIo 5(]F%7Y(Yƀj c ^IʨAu⒡GÝ7Aք{nœԚCoס>U.mQ VJ GbOwJt_/ZU{m7V׸g"aHfbX [w49[-0c+#/$~IQ.Rü…x˥yXVlJh!T͜aѱΙp9i;z|ҮRbƩkDGʹOFD2B) jlY0'[r󔧀 g{9&p\o"x|> endobj 548 0 obj << /A << /S /URI /URI (http://www.xilinx.com) >> /Border [0 0 0] /Rect [275.58 26.4 336.42 37.56] /Subtype /Link /Type /Annot >> endobj 549 0 obj << /ColorSpace << /Cs8 1435 0 R >> /ExtGState << /GS1 1436 0 R >> /Font << /F1 1437 0 R /F3 769 0 R /F8 800 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC55 792 0 R >> /XObject << /Iabc2316 551 0 R >> >> endobj 550 0 obj << /Length 18 >> stream q /Iabc2316 Do Q endstream endobj 551 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 108 14] /Resources << /ExtGState << /Gabc2317 780 0 R /Gabc2318 782 0 R >> /Font << /Fabc2319 791 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' F~z| pYw.Z 5J8 [n`mw/(RT1B2n~AKF q$bE0)D,1g$a$sw"ѕ jxyL q-lb@r2dv"`(Y#rU ((P|[QSqfѷG~$DS5RtriK[Zߖj?#=ʡ|~72ɢԑH5Z yp3> /Border [0 0 0] /Rect [108 14 194 36] /Subtype /Link /Type /Annot >> endobj 553 0 obj << /Filter /FlateDecode /Length 1613 >> stream xWnG }_ 0Rg*Z 郪( Jd~}!wuqCaC9;{3>;mbS6aZ3ueR4@93;jvoM UhpPeD*.'8x5L3Iv|q 00bS7~fAhG=GbM^>}4σM3ys g^_|=^ I|U (`k c )1 N _~8/g>gyvsKU_=+]7;sVp9ok (C! -W7f{enJ ;͋;ѩ_Go˹yظAo%'nwA(qؖ-fH{qH3U4-=t@$lN?o ߍEL\YFOP=%"^y7;]^{PJϖWl_ mGgҏ ٘.%gL*-oR.[̌C rgA޶hRf't=ڮ>\nٳGݏG0G1DP5|{m.75/+XK0aR!4mơ@ 75Ң99/9'BNvޟG=1b<|6cc`žg G6#&̿x?$lo^HQDa-blA`/&nmJ7 Z!'fJtQ8 x+UHKqk^Jm3oUL$ueu4ld$F}6 3 dyɖGx21[[Ö#m"k4C^P͘9ӵg5m oޠTQ^TZ2kۆlDH,=٪nqU`ZMi9y̢N A3VqbbZ~DDeũ3`4dv4,' qUfBZ>{=M2F2%2uZ`BNP,Q:@iO "3?O0NkzUV~e;intd͚1* Iے')PL2gg^2rjSDY:'i$rAcp)V垒S["bl%] p{=+?/=I1 endstream endobj 554 0 obj << /Annots [555 0 R 556 0 R 557 0 R 558 0 R 568 0 R] /Contents [569 0 R 566 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 1420 0 R /Resources 559 0 R /Rotate 0 /Type /Page >> endobj 555 0 obj << /A << /S /URI /URI (http://www.xilinx.com) >> /Border [0 0 0] /Rect [275.58 25.92 336.42 36.84] /Subtype /Link /Type /Annot >> endobj 556 0 obj << /Border [0 0 0] /Dest (G4.286995) /Rect [288.3 513 325.98 524.28] /Subtype /Link /Type /Annot >> endobj 557 0 obj << /Border [0 0 0] /Dest (G4.286484) /Rect [495.48 277.56 542.7 289.56] /Subtype /Link /Type /Annot >> endobj 558 0 obj << /Border [0 0 0] /Dest (G4.286995) /Rect [162 565.62 204 577.62] /Subtype /Link /Type /Annot >> endobj 559 0 obj << /ColorSpace << /Cs8 1435 0 R >> /ExtGState << /GS1 1436 0 R /GS2 803 0 R >> /Font << /F1 1437 0 R /F10 804 0 R /F11 810 0 R /F14 565 0 R /F2 1438 0 R /F3 769 0 R /F4 772 0 R /F6 796 0 R /F7 807 0 R /F8 800 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC56 814 0 R /MC57 564 0 R >> /XObject << /Iabc2335 567 0 R >> >> endobj 560 0 obj << /Differences [2 /arrowright 32 /space] /Type /Encoding >> endobj 561 0 obj << /Filter /FlateDecode /Length 256 /Subtype /Type1C >> stream hbd`ab`ddsvsMa!CGjce`X'w?A3Ob`fdNI,*//L(q/345 --Lu@9`\1%?)U!$5X3/9 ($5EO1'GlLBQjqjQP8 `dlg`RX{ʟX0_J{\ϖj.Cѧ_>}zSFnR9g㫘tlϹp1a endstream endobj 562 0 obj << /Ascent 0 /CapHeight 0 /CharSet (/space/arrowright) /Descent 0 /Flags 4 /FontBBox [-180 -293 1090 1010] /FontFile3 561 0 R /FontName /DIKKFG+Symbol /ItalicAngle 0 /StemH 92 /StemV 85 /Type /FontDescriptor >> endobj 563 0 obj << /Filter /FlateDecode /Length 225 >> stream hT=o w~ǫ:.PNpתvI@ 4Fx>h0EHۼ{$%܇1֌iI>=|p%0)}!#dzI3#[BP͗pI"0!h:Jt1KFeYuZC]ƮDEh۾ɩB|RLun}Gj endstream endobj 564 0 obj << /Metadata 570 0 R >> endobj 565 0 obj << /BaseFont /DIKKFG+Symbol /Encoding 560 0 R /FirstChar 2 /FontDescriptor 562 0 R /LastChar 32 /Subtype /Type1 /ToUnicode 563 0 R /Type /Font /Widths [987 250 250 250 250 250 250 250 250 250 250 250 250 250 250 250 250 250 250 250 250 250 250 250 250 250 250 250 250 250 250] >> endobj 566 0 obj << /Length 18 >> stream q /Iabc2335 Do Q endstream endobj 567 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc2336 780 0 R /Gabc2337 782 0 R >> /Font << /Fabc2338 791 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫" w/x(&-@BLf;|/(qe2r1""$,Ih;ɘҜHr$sBDQ@< ոs@61 Ej92;Po5"]zP.J}G"QB Wuţ}"juZG)qJ9Lڴ[쥭}-UaoKe͞\w>?lIHd%okp:3ϳ-nQ-~C2k,`O6OaePDt / CSi ܟBjdԜO\0s2*WLj$sx2W@wP&O;2FKh8ݛ endstream endobj 568 0 obj << /A << /S /URI /Type /Action /URI (http://www.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG932&Title=Kintex-7%20FPGA%20KC7\ 24%20GTX%20Transceiver%20Characterization%20Board&releaseVersion=2.2&docPage=43) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 569 0 obj << /Filter /FlateDecode /Length 4623 >> stream xZkoHH. `<$Gw/&@X,-ݽ?soQl Uźug*LLi1~֓5Eh}aSf>9<=n0mф] -بw}b& A0`؆ 4[qi#>B"bܳӸ)a갉ؐF#@b|  "MI[3*:,sJ"`@7봍A8MG>J4m-gDҦiA8h76dD1"(59۱)b$%èb9No!潮EY.t\;t[v9#i})n\`1#r0>:B:`ht]O<+OQ-ef8, 3~N 0Ѧ,+ɼP٠VmBi{f=N}PJ%dХQ15_ V<@F4hM`*@{Ց6JjO{VWIVe@ٴ4\gwȂ%9(h9N\Kj& ayTe-ݫNQ'֋{rQ4j[B0jA}lJ:>Lm-p_d4iHLCi():މ;f%IܹX*}#0z!{M|R;IҜP(A!:m݋hc2(N2aҘS -/5D^&(ۢ˶U*QAXrSIPV:zO,7ū/8MWgb3(]<t/ ?D3|Rx2ClQr__ϝKMbޗ5Ȩf#jA<6멛>GVrެ+b^|9EtS\~YobZo#8#Z)Oϯn˄!9~咠abW,cJsc9x*Prذ6#g24M@Y-̝Qgu pXt$4T<r1rf_.QTSrWʲ+q]^˧pYyN>$|9IɷwvTۏ6/nbf.fz#KYA(Pb""S 0 +r x&ƙn|Z9{z3/%c9є}yIDJmĩwK?Cu=82@dž: C-\^@䢌"bjD@58$} T5d\AX {wTݖA~Dr F2ϖWt^S7{K$)E66@pYgLFIFC 0>ÿf#" rwn|PUa{IE+:,Lg+pQç:|5e[^:6o [|w X済F?\lϾq̖-+C#Z rѷBP^#.$5^VMY4_Ϡ뫼j*|y/7SŲD,uH)[acd#1TdOL0M1 I&]qoN\SG&m%gCL9^yŐj.˩/?J=}]+ [Jn4JSI=@Aif悿T@SU+tD8/j<ŪC{K lȰAqR25wfR\`X{ZxL=J#zC ?ݸ;$}rւ`ݨsʙ皓yywb[ )0g(DL1X^i =rMXFY?Ie,1h=>On0H^aJguʫ+XmPuEdºH7'sP" s`q(J)c|BPoZO3ܙO:$dzbvH8u4$ńz^E1b`^aq`Bf_]~ik7[mˬz"nA)?V,)6<6*h +\WRH;z][Ql40[ 3ԼF\cE N%͜*!2*fjO pm>vٞrg"Zo9`)|=)\uM[![ߊ#,ڸlp2/p3KExoXpmy:IwG}@=x#x::}/ƞo,L'9)8Q&ViY^f!vy!#㪳>>1yCvo<ÿc~2 91Ґ|63z#iV?(Uk{󊩠8  7\^_E]`8=?L}30EnrO!m\Sotqjw. GOr?4n*q`{X}.T:_LGzFk㹞6yt{Hڔ06\>Pvߛ#&'oN,rF/i{/|,Qտqo`:+lZDk 0 ֻ}SƔV`&MǗ|w`EeǼn@Uϑ`CL-N9@!ٵqQwWh|F|gv۵O|G;n}\;6)/X'[~ +<߹O*P@#4i"scn@zC2a@o 3YZ1yLPo)?z uN׏c)mICSv~@e=vf{Iw?-74 )%},={D7Vt6pp2]b߰HR._ zc/75N)=<S =5`2NWv*U+/\ h&O~nħc?Ж?>ZŹ>V+j^N>lЀZ$XX3#f7;;53?'a@e=~!"wN>~arWޒ4ፙ y=mMw6$cʏ*W)?U~7V~vPDyO;DS?G5Z4h?=:~5|ȔC|sj -@wU1d+xgh7ruuNgvPJ`[N_N>Kpw|hMf kL o endstream endobj 570 0 obj << /Length 34979 /Subtype /XML /Type /Metadata >> stream application/postscript For use with FigureWide tables used in Xilinx FrameMaker document templates. ug810_cX_NN Adobe Illustrator CS5 2012-06-28T13:52:22-07:00 2012-06-28T13:52:22-07:00 2012-06-28T13:52:22-07:00 256 224 JPEG /9j/4AAQSkZJRgABAgEASABIAAD/7QAsUGhvdG9zaG9wIDMuMAA4QklNA+0AAAAAABAASAAAAAEA AQBIAAAAAQAB/+4ADkFkb2JlAGTAAAAAAf/bAIQABgQEBAUEBgUFBgkGBQYJCwgGBggLDAoKCwoK DBAMDAwMDAwQDA4PEA8ODBMTFBQTExwbGxscHx8fHx8fHx8fHwEHBwcNDA0YEBAYGhURFRofHx8f Hx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8f/8AAEQgA4AEAAwER AAIRAQMRAf/EAaIAAAAHAQEBAQEAAAAAAAAAAAQFAwIGAQAHCAkKCwEAAgIDAQEBAQEAAAAAAAAA AQACAwQFBgcICQoLEAACAQMDAgQCBgcDBAIGAnMBAgMRBAAFIRIxQVEGE2EicYEUMpGhBxWxQiPB UtHhMxZi8CRygvElQzRTkqKyY3PCNUQnk6OzNhdUZHTD0uIIJoMJChgZhJRFRqS0VtNVKBry4/PE 1OT0ZXWFlaW1xdXl9WZ2hpamtsbW5vY3R1dnd4eXp7fH1+f3OEhYaHiImKi4yNjo+Ck5SVlpeYmZ qbnJ2en5KjpKWmp6ipqqusra6voRAAICAQIDBQUEBQYECAMDbQEAAhEDBCESMUEFURNhIgZxgZEy obHwFMHR4SNCFVJicvEzJDRDghaSUyWiY7LCB3PSNeJEgxdUkwgJChgZJjZFGidkdFU38qOzwygp 0+PzhJSktMTU5PRldYWVpbXF1eX1RlZmdoaWprbG1ub2R1dnd4eXp7fH1+f3OEhYaHiImKi4yNjo +DlJWWl5iZmpucnZ6fkqOkpaanqKmqq6ytrq+v/aAAwDAQACEQMRAD8A9U4q7FXYq7FXYq7FXYq7 FXYq8Z87+fNat/P+paHe+cI/IVhaw250Oe5soZoNReWLnNI9zdAxhIZP3ZRGU++KpraedvNmn+aP KR8yXNsmla7od417DZmOW1XUbALctcxTgFykltzKrypQeIrirDNJ/Nz8wpvy38xyX1wsfm+e502T y0whh+C08wmL6ivp8ODmL96CWUk8d64qzzXvOPnGx/NXyd5XWykg0C/kvEvNYla1YX7Qaa86pHEh aWIJKKsSqVIoPh6qpHq/m/8AMO8sPOfnDRtVis9K8m3l3bW+gPbRSR3sekqGvHuLhh6ytIQ6x+kV 40FeWKvW9Lv4tR0y01CEFYbyGO4jDdQsqBxX3ocVROKuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV 2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV59rXl38zYdU1ZdHn0jWtA1eQT/AFDzAbkm0cxrG8cXpJKk kDcOYjIWhJ33xVjnmT8idUu/ym0PybpOqRR6to87yfpKQNEnpXgnS9ijCrKVT0rt1jXwCivfFU58 yflJLqP5j+UvMNlNDb6HokaJqVgSweU2IkbTfTAVlPpSTsW5MKClK9lWQ+ZvKmo6p518na5byQra eXp76W9SRmEjrdWT2yekArKSHcFuRG3j0xVies/ll53KeZ/L+iahYQ+VPOF1Nd6hcXAm+v2n11VS +jt0RTDKJQpKl2XjyP2sVeoWVnb2VnBZ2y8Le2jSGFOtEjUKo+4YqrYq7FXYq7FXYq7FXYq7FXYq 7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXzjNY2Ul1dySW8bu1zcFnZFJJ9Z+pIxVb+ jdO/5ZYf+Ra/0xV36N07/llh/wCRa/0xV36N07/llh/5Fr/TFXfo3Tv+WWH/AJFr/TFXfo3Tv+WW H/kWv9MVd+jdO/5ZYf8AkWv9MVd+jdO/5ZYf+Ra/0xV36N07/llh/wCRa/0xV36N07/llh/5Fr/T FWvqNlFLBJHbxpIs8JV1RQQfVXcEDFX0jirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirs VdirsVdir53b+/uf+Yi4/wCTz4q7FXYq7FXYq7FXYq7FWQ6Vc/o7y7LqNtBFLetdCB5ZUWX0ovT5 LxVqgc2rv7YqtuHt9Y0i7vjbR21/YGMyvAvCOWOVuHxIPhDqxG464qx2XrF/xmh/5Orir6LxV2Ku xV2KuxV2KuxV2KuxV2KuxV2KvKX/ADe87SQeYtTsPJcV5oPlu+v7K6uxqqR3DppsjLNKlu9tSvFe QT1PauKss0T8wdI1MT3jy2tnoos9MvbS+nu4VlZdVDGJZ7c0a3JPBY+TfvC1F6YqnWj+Y/L2tpM+ japaamlu/pztZzxXAjf+VzGzcT7HFWO+bPzS8veX/NGheV+aXWva3eRW4sVk4yQwShj9Zf4W+EFK Bdq19sVZlirsVdirwbyX/wA5BxQfmx5k8g+bJ0igTVLmHQNSeihf3pC2szdP+MbH/VPbFXvOKvnd v7+5/wCYi4/5PPirsVQuq331DS7y+4ep9Ugkn9OvHl6aFuNaGlaeGKsJX8zNYZQw0W3owBH+nP3/ AOjXFW/+Vlaz/wBWW2/6Tn/7JcVd/wArK1n/AKstt/0nP/2S4qzXyHY+fPOulXGp6VpulwwW1y9m 63Wo3COZERJCQI7CQcaSjviqaeYfJ/5k6DoGp65eWOjSWmlWk97cJDqV0ZGjt42lcIG09VLFV2qR 88VTXy1qOl2ayi5nmhkmPGRDClxayR0FBJGSrVBr0xVOPMVlcp5ce4shZ22lyOjSrFFNbzTMGAX4 ZqllUtXY4qwWXrF/xmh/5Orir6LxVI/NPm/TPLQ0t9RjmMGq38OmR3EQQxwzXNRE0xZlKxll48gD uRiqhrvn3QdE8w2eh3xkE91Z3epT3KhPQtbSyCmSa5ZmVkVi3FeKtv1piqQ2H52eXLmWykuNL1jT dG1KRIdP8wX1mYbCZpjSE8+RkjWUkcGljUGvXFUdr/5paTpeuT6FZaXqnmDVLJEl1K30e2FwLVZR yj9d3eJAzqOSoGLEdsVVNO/NLyrqU/lyKwaec+Zpbu2tGEYQwTWELzXEV0kjJJE6+mV48T8Xtviq F0D84/KWu+UfMHmexW5+q+WvrZ1OzdIxdD6nG0jcEEhQiRUPpkuAe9KGiqC1z867LRxZSS+VdfuL PUntIdPvbeC0aGaa/RWhiQvdI3Il+Bqv2ge2+Ksz8ua3LrOmrey6Xe6O5dkNlqKRxzjj+0RFJMlG 7fFiqZ4q7FXYq8W8nflBaa0PNsnmOXW7W2v/ADHq7HSRe3lnZXNpJdMyObdGjR45lP2h9od8VQX5 g+TdQuZfP9hZ6LPLpl5D5QtrKCC3kMUsNpqDfWI4Qi0ZYYt3C/ZXrTFWcaP5e/Rv5yanc2Gm/UtI n8u2MZmgh9K2e5jvLmqclURmRYiu3ULTtiq/809N1G9vPIrWdrNcraeaLS5uzDG0gigW1ulaWTiD wQM6gsdtxirO8VdirsVfnZ+aej6prP53+ZtK0q2kvNRvNZuYra2iFXdzKdh+sk7AbnFX3b+Wmh+Z NC8j6RpXmTUP0nrFrAqXNzQCn8sfL9v01onM7tSpxV5DEYBfSmcM0Au5vVVCAxT125BSaitOmKp/ Y2nkiW5DSX1zBBxdTDcxVNWQhWEkLfssQd13xVh/na2W20HW4VmjuFSyuKTRElGBhY7VAOKvJIP7 mP8A1R+rFV+KuxV9G/8AOL//ACg2q/8AbZn/AOoa3xVm35sf+Ss85f8AbD1L/qDkxVgPlvzDp2lw yJPY+pcO1Uv4ynrRigFEEiOvY74qp6xLpF7FJeR6ndzXYpxt7yPkzVYA0lVmUUG/TFUgl6xf8Zof +Tq4q+i8VYz+ZflVvNXkbWNEiPG8uIC9hL0KXcJEtu4PbjKi4q8YtbDXvzX8i+e/N1vbPHquqaZb aJo1q44PSwRbm9iUHYCa8eSMV/lxVnEv5y+U9Z0mx0fy/px1nzFdyW0DeVpreWM2hEi+q156kZWF LYKW5EdVFPHFUFoPm3Qfy683edLDzjOdM/TWrNrGk6lLFK0N1bXEEUYhjkRWBeB4ypjPxb7AjFVB NXn1nzz+WurSaN+hIb3Vtfls7d19Oaa3OnTCO6njKoUknA5lTU9N8VYA2l32j/kbcecdIhMq3tl5 h0TzLaxjeW2uL++S1uqfzWs8m5/32zeGKvS/On/kv/yy/wC255Y/5OR4q9dxV2KuxV2KuxV2KuxV 2KuxV2KuxVgXkj8otD8tebvMXm+Qi81/XryecXDLQW1vLIWWGKvc7F279Og3VZ7ir53b+/uf+Yi4 /wCTz4q7FVK8t7e5tJ7a5XlbzxtHMpJWqOpVhUEEbHFWLjyJ5EAoBIAOg/SF3/1XxVv/AAL5F/4s /wC4jef9V8Vd/gXyL/xZ/wBxG8/6r4qjtNsdA0eF7XTNZvtOhdzLJBba1fwKZCAC7Klyo5EKBXFV W7fS7y1ms7zzFqNzaXMbQ3FvNruovHJG4Kujo10VZWU0IPXFU5xV2KrJesX/ABmh/wCTq4q+i8Vd irsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVeA6baLea0tm7FUub94WYdQHuGUk ffiqPvfLrQ38MEN1E9rdFxbXcp9JC0dQyPWvFgwp4bjscVYv5ztp7Xy/rdvcIY5orO5V0PUERNir xyHS9NMKE2kNeI/3Wnh8sVX/AKL0z/lkh/5Fp/TFXfovTP8Alkh/5Fp/TFXv/wDzjh5M8n6l5M1O fUdC0+9mTVpo0luLWCVggt4CFDOjGlWO2Ksw/M/yB5Etfy0823Nt5c0uC5g0bUJIJ47K3R0dLWRl dGVAVZSKgjFXn+KuxVZL1i/4zQ/8nVxV9F4qwP8AOa51rS/KMfmTSbieKXy3e2+qXlvA7otzZRPx u4JVUgOnouz0buoxVh35k/mHq9n5g1vXdEupJNI8k+XxdGGORlt7jU9ZYJaevGpCzJDAvqgN05dq 1xVkUP5c+bNLtbLV7TzvqVx5gikhl1L9J3Hq6VcqzL9Yi+qBQkKlS3pmKhU03OKoLTLLV/zD80ea przX9U0jR/L2pNoumadpFybImW3hjkmuZ5EHOUu0w4KTxAHQ4qu856x5o8q/ldNpl7r0d55j1G9G g6LrS0ilH1yX04prgrwVJ4ICzuy0FVr74qxbS/OutSeS9C0ptZuLjV9B88WGgalqCXDmS8tfrv7t 5ZFasiT27qGqSGoa4q9B833t+v5reRtMju54dPv7PXPrttDNJEkpiitvTZwjLVo+bcG6rXamKsA0 zWfOF150H5Mza7Kw0q5bUbvzKl2y6jcaQvCaGy9RWEv1nlKElcEH0xXvir30CgpirsVdirsVdirs VdirsVdirsVdirsVfPtrdS2mpPdRU9W3vJZY67jkk7MK/SMVTGz1uD05rbUrb63ZzSmcIjmN45T1 ZGo2xGxBGKpN53nm1uz1c20HCW7tZILa35A/7o9KNSzUFdhUnFXk8flvzcsaqdCuKqAD++suw/5i MVb/AMO+bv8AqxXP/I6y/wCyjFXf4d83f9WK5/5HWX/ZRir1n8m/O935K8t3umar5Z1Sae5v5LxG tX010EbxRRgEyXkZ5ViPbFWQ+d/zZXXvJev6HZ+VtZju9V027srd5m0sRrJcQPEhcrfMwUM29Afl irHsVdiqyXrF/wAZof8Ak6uKvovFVG9s7a+s57K6jEttdRvDPEejRyKVZT8wcVYF5J/JnSNA8jap 5T1W8fXotZ5pqN5MnpSvD6KW0MezOR6MMSKpB6jkKYqh4/yl8xXcFlo/mPzjPrPlWwkhlTSjZwwT XAtXDwR3l0jMZkVkUsAi8qb4qjtT/LfXYfMeo655P8yt5dk1oo+sWclnFf28s8aiMXEaO8RilKAB qEq3UrXFUFbfkboAudEXUrj9M6ZpbX15e2OowR3H1/U9RI9S9uSx9MlBy4J6e1a12xVR1P8AIXy/ NqOqzaNcJ5fsNRispYbHTraOEW2qabM0ttfw8SIwQrcHj9P4uvKuKppo/wCXnmKPXR5j8w+ZBreu 2dnNZaLJ9RS1tbT6xxMkpt45WaR3KLy/eDYUFMVQC/kpbQ+XNPhs9VaHzjYagdZHmxoA801/Kf8A SXmhEi8opoz6Ri9SnHjuaYq9LFaDkanuRsK/jirsVdirsVdirsVdirsVdirsVdirsVfO7f70XP8A zEz/APJ58VVbi2ubaT0riJ4ZKA8JFKtQioNDTriqX6vetYaTe3yKHa1glnVDsCY0LAH50xVgafmJ 5lZFb6pZfEAftS98Vb/5WF5m/wCWSy/4KXFXf8rC8zf8sll/wUuKs5/LzQ/zB88aRdapZXGk2UNt dvZmKaO5diyRxyFqq4FP3uKpt5n/AC//ADK0Dy3q2uzX+jTRaTZ3F9JCkN0GdbaJpSqkvSpCUxVD Yq7FVkvWL/jND/ydXFX0XirGvPPnP/Cdrpd9NZ/WdPvNSttOvrj1PT+qx3bGNbgjg/NVkKqRUdcV QHmz8ztO8t+ZodHuoOVrFpd3retX5k4/U7S2IjiIi4N6rTykoq8l6d+mKpXb/mp5oiistW1ryVda Z5Yv5IUj1EXUVxdQLcsFhlurKNeUaEuvLi7le4xVGan+Y+uTeYtR0Pyf5abzDLopRNYvJLyKxtop pE9RbeN3WUyyhKFgFCrUVYYql95+cOpyxeXYdD8tSXWta7dXthLpWoXI097W406JpZ0eQRXKvsh4 sux2xVQv/wA6NU03QPM8+peWvq/mLyxNp0Vzo4vVkglXVZkit5I7xYunxsSDFUUp3xVMB+ZHm7S9 Z0ay83eVI9IstcvF02zv7TUkvwt3IjyRJJH6FuwVxG3xCvviqtefmzY235q23kI2LtHNEqy6z6lI o72WKS4itDHw3Z4Ii/Ln3Apviq+3/NS3k/N27/LqXTzE8Fkl5b6n6vJZZHUP6HpcBxbhyYHmahTt irvLf5p2+vfmZ5h8k29gUj0CFJTqhlqJnLKkiLFwFBHIWQtzO6nbFWdYq7FXYq7FXYq7FXYq7FXY q7FXgFhdLaav9aZea299JKU/mCXDNT6aYqyf0bGSd72z1mCXVpZjLbPclgogKlWil9UGMMefQ+G2 KsM/MtbJbHzCtkUNv9Tnp6W8fI259QJ/k868fbFXjUH9zH/qj9WKr8Vdir6N/wCcX/8AlBtV/wC2 zP8A9Q1virNvzY/8lZ5y/wC2HqX/AFByYq8hxV2KrJesX/GaH/k6uKvovFUi89eV4PNXk/V/L0x4 jUbaSGOQ/sS05RSf7CQK30Yq8g0byN5y8+/l/wCddR8xWUmk+afMdpbaXZW16rRsiaXChBPIAqlz eeoxIH2SDvirLl/Mfzvq1nZaTo/lHU9N8zSyQR6jc6pamPTLNFcfWpBcBwlwOCt6YjYk1B9sVQmm X2rfl35o81wXnl/VdX0jzDqTa1pmo6RatekSXEUcc1tOiHlEUeL4CfhKnqOmKsf88RectWl8ka15 p0nVraOPUdVnntvLaXL6hY2c1q0dmkstkXcStVRIVIBqR44qx/VfKWvXvlvzumgaZ5gOhau2iMP0 zFOdYnv4dSh9eWEyA3hhitVU1k+yQSu1cVZt5p/LuTy15g8n+YtNu9e182WsJFe219cXWsJDa3Fv MklwsTiZo2RuA9RaUrvirELr8tvzTv8AyZd+dVvRBrU2qHzjD5Xk05zfJc25It7YXHreoGFqgQR+ j1PCld8VTXzJpfmaTzd5k8+aVod9Je6Z/hnVtJtWt5o5rpEhuob+0jBTkZBb3TK6AVVqVGKpv+VH k/XND8+QXWo2kyy3nlWCfVb5onETapeanc3l1EZCKGRGn+yTy40xV7NirsVdirsVdirsVdirsVdi rsVfO7f39z/zEXH/ACefFXYqpXkNtPaTw3QBtpY2ScMaAxspDVO1BTFWIjyt+WQFBJCAP+X+X/qt irv8L/ln/vyH/pPl/wCq2Ku/wv8Aln/vyH/pPl/6rYqjdPt/JWmxPBp+qmziZzI8UGq3EalyACxC zjegGKqty/lS5t5ba51uSa3nRo5oZNXuWR0ccWVlNxQgg0IOKshxV2KrJesX/GaH/k6uKvovFXYq 7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXz0kUkt5NFEpeWS6mVEUVLM07AAAd ycVT+98renaWiwGUapJb+vNYzLxZhzZSIth8S8KlDv4VxVhPmr/lGNY/5grn/k02KvJYbKz9FP3E f2R+wvh8sVXfUbL/AJZ4/wDgF/pirvqNl/yzx/8AAL/TFX0L/wA40+X9Bu/JOqSXWm2txIurzqry wRuQot7c0BZTtvirMvzT8seWofyx83zQ6TZxyx6JqLxyJbxKystpIQykLUEHFXmmKuxVZL1i/wCM 0P8AydXFX0XirAPzu0y7l8lfp3TkL6r5UuoNesVXq31JuU8fye3aRad8VeefmP5su9R1PzL520GT 1LbyR5djj0acCvDUNdCSSXCdqw2Zj6/zYqy2f8pPIXl7R7PXrTVJdE1eye2mm83PcySS3LNIisLo yycJkuS3Hi23xfD4Yqg9B8qaF+Yvm3zpfecYW1IaLqzaPpOlyyyrBa28EEb+vHEjKPUnaUsZDvts RiqA/MHW9G8qflNceWYvNBvYdS1VvLkepXEoeWwt5paXUMstWZ/qltzXk3xfZGKsT8u+ZtKvvI2h eXrC9S+tvKvn7SrGyulbkJNPe+9Wykqf+KyU/wBhir1bzrHDN+cn5ewyqskcll5gWSNwGVlaG1BD A9QcVeaaRbwXH5hr+T02pCb8v9M1Ca8s1cOTcSwJHcjQmlI4Otq8hlZak8AFPTFX0iAAKDYDoMVf Pnm/VtTsfNH5h2un3cmnHWvMPljSbrU4Txktra8soI5pUf8AZPD4eXauKpz5/wDIPlf8uNEs/Nvk y3fStb0++sYWWOeZ/wBIxXN1HBLb3CyOwmLrIX5N8QK1BxV7VirsVdirsVdirsVdirsVdir545Mt zcMpIYXM5BGxBEzYqnUXnLzJEsKpeNxgUIqsA4NCSCwcNVt+uKse83Pea1p+q0RDeX1tNGqoBGrS PEUG2wHI9cVeWx+VvOCxqp0d6qAP7+27D/jJiq7/AAx5v/6s7/8AI+2/6qYq7/DHm/8A6s7/API+ 2/6qYq9S/KHzrq/kjy9e6Xf+Wb26lub+S8SS3nsuIR4oowDznU1rEcVZB51/Ni917ybr2h23lTUI rjVdOu7GGWSew4K9zA8Ss1LgmgLb0GKpHirsVWS9Yv8AjND/AMnVxV9F4qtlijmieKVQ8UilHRhU MrChBHgRirE/Jf5WeU/KXlO68q2UUl5pN80zXiXzLM0qzoImjchUBQRKsain2RiqWad+Snl21nsV udV1jVNJ0uVJ9N0K/vPWsYHhNYSE4LI4i/YEjsBiqO1/8rNJ1TXbjXbHVdV8v6nfIkepTaPcrbi6 WIcYzOjpKhdF+EOAGptXFVTR/wAq/KmkahoV5YLPGPL0V3Hp9s0nOMzX5H1i6lLAyyTuARyL9Cds VU/MX5TeVNf1bVNSv/rAfWLO3tLyGGRY09SzlM1tdoyr6q3EJbirh6cduOKu0H8r9O0nUJ9Vl1nV dW1uS1exttW1KeOee1hc1It1ESQqeQDEmMk03qMVU3/KDyr/AINsfK8Ul1Aum3KahZ6xHIn6QS/S Qym89ZkZTM7M3MlKEEilKYqjBqP5qAAHy/oZI7nW7up+7ScVan/LTy1fP5lk1SF7xPNxtX1ezkcN Cj2kCQR+gyrHItPTDcia8txTpiqX6X+T2j2up2N9qWta15hGlOJtMs9XvBcW9vMv2JQipF6kiA/C 0pYjFWeYq7FXYq7FXYq7FXYq7FXYq+eCCbi5A6/WZ/8Ak82KqlxbXFvK0VxE8Mq05RyKVYVFRUGh 3BriqW67dzWeiaheQUE1tbTTREio5JGWWo+YxV5xH5w84Mit+kI/iAP+86d/pxVd/i7zh/1cY/8A pHT+uKu/xd5w/wCrjH/0jp/XFXpX5UeS/MfnnQb3VbnzPLYvbX0lmsMNnbMpVIopOVXBNSZcVT3z l+U2u6B5Q1zXYfN9xNLpOn3V9HC9laBXa2haUKxC1oSlMVSrFXYqsl6xf8Zof+Tq4q+i8VYj+ZXm 7U/KWk6frVvFDLpkeo2sGvNKrForG5f0XmiKsgDRyOh+IEUriqT+evzVk8s+aXshFFJo+j6Lca35 ilKs0wUuILG3gYMqLJLNyrzB+Hw64qstvMX502sNjrOraHplzpd1JCLvRdNa5bU7SKdgvP1JD6M7 Q8qyKqJ0NDiqpP5x8/eYPMms6Z5It9Lh07y9Mtlf6nq/1iQT3vpiSSCCK3MZURK6h3ZjudlOKqV/ +ZXm6HTNE0xfLy23nvXLy40+30+6kb6kn1IF7i99ZRze2EdHWi8jUDFUXofnHznp3nGy8p+drewa fWYJrjRdV0kTJBI9qA09vLDO0jpIqMHUhyGHvirP8VePf8ruuv8AlRP+OPW0z/FH1L6x+jeR9H1f W9Pj6Pq+tTjvTnXFU3vfM35nan+YHmHy55Yk0S1stBg0+UyanbXc0sjX6StTlBcRKAphP7PfFU8/ LDznfebPL1xd6hbwwahp9/d6ZeNaMz2sstnIY2mt3b4jE/UVxVl2KuxV2KuxV2KuxV2KuxV2KuxV 8/2N0LTV/rRXmLe+klKePC4ZqfTTFWTyapaWNpqlzb6lHfvqLxtBbTRl3BD1b1lkUrshK7H5YqxD 8zks1sNd+pqi27ac7qsW6BntAz03P7ROKvFIZofRj/eL9kdx4Yqv9eH/AH4v3jFXevD/AL8X7xir 6I/5xk1Cwh8j6ostzFGx1icgM6qafV7ffc4qzX81tT01/wArvOCJdws7aJqQVRIhJJtJAAADiryr FXYqsl6xf8Zof+Tq4q+i8VSvzT5fs/MflvU9BvR/o2p20trIaVKiVCoYe6k1Hvirynyv+U/mvXPy 882WfngrZeaPM8MFk0qOs6xQ6bbpDZuSjMCGmV5mFa/FTY4qngv/AM7dXtLLQ5dEh8u3KyQDVvNC XtvcxNDC4aY2duAZec6rQeqiheX04q1Dpnn3yT5m8xTaBoC+ZtC8yXv6WjSO8gs57W8kjSK4SQXH FXjf0gyshqOnE9cVQ195N/Mx4PLvm6ea21Pzpod9e3b6QXEVqbLUl9OTT4LgoKGKNU4SOu7DfbFV Urr+o+btM88+ebS28meX/KkNwtlBe3ttLJJdagFt2mnnjb0Iowh4IvMks30YqzLTvzG/L3U72Kw0 3zRpN9fTnjBa219bSyuQK0REdmY0HYYq8h/5USn/AEL7+h/8Jad/ysL6j6fq+lZfWvrHrcq/XK8e XD9r1PbFWWz/AJQ6L5h/M7zVrfm3QbfUdMubbS49FuLgo/xQxzLdBUVua0JjryAr2xV6PpWk6XpG nw6dpdpFY2FuvGC1t0WONB1+FVAHXfFUXirsVdirsVdirsVdirsVdirsVfO7f39z/wAxFx/yefFX YqsnEJhkE4UwFSJQ9OHCnxcq7Up1xVjP/ILf+1H/ANOmKu/5Bb/2o/8Ap0xV3/ILf+1H/wBOmKtr /wAqwp8P6Ep7fVMVbP8AyrGm/wChaf8ARpirJlZXUMpDKwqrDcEHuMVbxVZL1i/4zQ/8nVxV9F4q 7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq+frO1a71U2isEa4vZYg56AvcMt T9+KsgOgeW54bv6tqE8E1iwSZrqIemeT8A1YyWVeVBuNsVYj5rs57PTdVtZ14yxW8wYA1H92SCCO oI3BxV4xZQw/U4PgX+7TsP5Riqt6EP8AvtfuGKu9CH/fa/cMVfQf/OMGn2E3lHX2ltopGGtuAXRW IH6PsjTce+KvQfzF0vTF/L7zOy2kKsuk3xVhGgIItn3G2KvGNI/45Nl/xgi/4gMVReKrJesX/GaH /k6uKvovFWAfndpl3L5K/TunIX1XypdQa9YqvVvqTcp4/k9u0i074q88/MfzZd6jqfmXztoMnqW3 kjy7HHo04FeGoa6EkkuE7VhszH1/mxVls/5SeQvL2j2evWmqS6Jq9k9tNN5ue5kkluWaRFYXRlk4 TJcluPFtvi+HwxVB6D5U0L8xfNvnS+84wtqQ0XVm0fSdLlllWC1t4II39eOJGUepO0pYyHfbYjFU BqtjBJqHk/8ALePzHcap5ZvtU1aHVJRORcenpkQmi0iW5RvVYIz8W+LkVWm2KprB5f0vyD+bHlXS vKiNYaP5nt9Rj1XRUd3t1exhWeK7jjdm9N+TemxXZqjvir1vFXyl/iPzZ/0Kr+jP8JXP6H/RvD9P fXLL0eH1mvqeh6v1ildqcK4qyvzLpnle+/Ojzj+nfJN75x9O00f6t9Shgm+rcop+fP1p7anq0WlK /ZPTuqzP/nHuVpfJupOgltrIa3qCado9yztNptvHII1spOdeLIys3EEgcqVxV6firsVdirsVdirs VdirsVdirsVfPBJW5uGU0IuZyCOoPrNiqcS+adRniENykMsbOj3X7tUecRmqrM6cWYfTiqTeZ7i6 1mHUHKos93C8UaL8KL+79NFHXYAAYq8pt/JvnGKCOM6fGSiqpP1hOwp4Yqqf4R84f9W6P/pIT+mK u/wj5w/6t0f/AEkJ/TFXo/5TecPMfkTRtT0258sy37XuoNfJNBd2yqFa1gg4kOQa1gJ+nFWS+aPz e1zWfLOr6RF5PuIpNSsri0SVr20Kq08TRhiA3QcsVY9p8LwWFtDJs8USI9NxVVAOKq+KrJesX/Ga H/k6uKvovFVssUc0TxSqHikUo6MKhlYUII8CMVYn5L/Kzyn5S8p3XlWyikvNJvmma8S+ZZmlWdBE 0bkKgKCJVjUU+yMVSzTvyU8u2s9itzqusappOlypPpuhX9561jA8JrCQnBZHEX7AkdgMVR2v/lZp Oqa7ca7Y6rqvl/U75Ej1KbR7lbcXSxDjGZ0dJULovwhwA1Nq4quuPym8mS+VbPy3FBNa2unTC7sL 23mdL2G75FzdJc1MnrMzEsxrWvhiqjpX5dDy5cXWvWE9z5n82PCtrb3vmG9Klbf1AzwpLBbyLCnV vggPJgK+OKptp19+YUl7EmpaLpNtYk/v57bVrm4lUU6pE+m26sa+Mi4qlv8Ayqry9/yrH/lXP1i7 /Qn1b6p9Y5xfWuHqepXn6fp8q/8AFeKp1pvlTTtP8z615jhkma+12Oziu43ZTEq2KyLF6YChgSJj yqx7UpirXljypp/lxdUWymmkTVtRudVmWYoQk14weVY+CIeHLccqnfriqdYq7FXYq7FXYq7FXYq7 FXYq7FXzu39/c/8AMRcf8nnxV2KobUrl7XTrq5jALwQySoG6EopYVpTwxV5zB5/85Swxy89OHNQ1 Pqs5pUV/5asVX/4685f7807/AKRZ/wDsqxV3+OvOX+/NO/6RZ/8AsqxV6J+Vvl3zl570fUNR/TOn ad9QvmsfS/Rs8/PjbwT8+X16Kn+9HGlO1a74qyLzJ+V/nLRfLuqax/iTTrj9G2k956H6JnT1PQia Thy/SLceXGlaHFWPWE73FjbzuAHliSRgOlWUE0riqviqyXrF/wAZof8Ak6uKvovFWHfmn+Yf+AvL cOt/o19V9W9t7L6pFJ6ch+sMRyT4JOTCmy7V8Riq+5/MfS01zytZWqpc6X5osr7UIdWEvBIobKKG YNwKnkJFn6ll4074qx2L84fMN1pTeaLDyXd3XkleUq6qLqFb2S1QkNdRaeV5NHRSwBkDFd+OKvTL W5huraK5gbnDOiyRNQiquOSmhAI2PfFVTFXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq+e4p 5be+lnhbhLFdzPG3WjLOxB398VTg3mmax8F6kdhqDfYvol4wu3hNGuy1/nX6RiqXfmbAsD6vEqqo WxWoSnGv1NSSKbbnfFXhFj/vFb/8Y0/4iMVV8Vdir6I/5xb/AOUP8wf9tx/+6fZYq9D/ADH/APJe eaP+2Rf/APUNJirw7SP+OTZf8YIv+IDFUXiqyXrF/wAZof8Ak6uKvovFWCfm5puo39n5WWxtZrpr fzRo1zcCCNpDHBFdBpJX4g8URd2Y7DvirALr8ufMNr+aNh5cgtZv8EGx119L1KONmisRrMEaS2bM BwQRzRs8QJHwvxH2cVTby55w88eXvIlj5Mh8nanL5z0mzTSrOcW/LSJHgQQw3TX3JY/RKgOwJD9R Tvir16yW7WzgW9dJLxY0FzJGCqNKFHMqpqQpboMVVsVdirsVdirsVdirsVdirsVdirsVdirsVdir sVdir53b+/uf+Yi4/wCTz4q7FVK7a3W1ma6obYRsZ+QqOAU8qjwpirAlvfydKgraW5UjYixnpT/k Virf1z8nv+WOD/pBn/6pYq765+T3/LHB/wBIM/8A1SxVHaZZfl7fRSy6Vpc08KycJmtLG+ZBIFU8 W9OKgbiVPypiqvc6N5MitpZbrRrxLaNGad5LDUQioBVixMVAoHWuKsotjAbeIwU9AopioKDhT4aD 5YqqYqsl6xf8Zof+Tq4q+i8VQmpavpOlwJPqd7BYwSSLDHLcypCjSyGiRhnKgsx6DqcVXzalp0F7 bWM11DFfXgka0tXkVZZlhAMpjQnk4QOOVBtUVxVBP5t8qx6wuiyazYprLEBdNa5hFySegEJb1Pwx VNcVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVfP8AZWv1vVjacgn1i9ki5noOdwy1+iuK p7P5e0GWKW4ttQezt7eY2sv1xCzGWhK8fRB+FuLdelMVYp5tsZ7HTtVtZ6epFbTAlTVSDESrKe4Y EEYq8Zsf94rf/jGn/ERiqvirsVfRH/OLf/KH+YP+24//AHT7LFXof5j/APkvPNH/AGyL/wD6hpMV eHaR/wAcmy/4wRf8QGKovFVkvWL/AIzQ/wDJ1cVfReKvL/8AnIPSbPWPLPl7SL0MbPUfMukWlyEP FjFPcem9G7Hix3xVg8vmHzJ/jny1oF4zXHnXynpvmS1aUrVrsfVLZ9PvFG9frKKK/wCWGHbFU+8n +V/yrn/ICz1XXLe2exudLGoa5rJVWvPrjJzuZhcUaUzpPyCb8qgKPDFXr+kSQy6TZSwvLLC8ETRS XFfWZSgKtJyAbmR9qo64qi8VdirsVdirsVdirsVdirsVdirsVdirsVdirsVdir545MtzcMpIYXM5 BGxBEzYqng85aq6+ldR293Ad5YZYl4yNt8blOLF9vtVxVJPMU1xrSXzSlI5ryJohwFEQGP01AXwU UxV5pD+XPmWKFIxeWRCKFB4y9hTFV/8Ayr3zN/y12X/Ay4q7/lXvmb/lrsv+BlxVm/5dax+YHkXT L/TrGDSb6K+vDfNLPJcxsrNBDBxAVGFKW4PXviqea/8AmL+Zes6FqWkS6fosUepWs1o8qzXRZVnj aMsAU6jliqWWUBt7OC3Y8mhjSMsOhKqBX8MVVsVWS9Yv+M0P/J1cVfReKpdrXl7R9bSzj1O3+sJY XcGoWg5unC5tX9SGT4GWvFt+J2PcYqoXPlDy3c+aLTzVNZK2v2MD2ltfhnVlgkqWQqrBHHxGnJTS ppiqRyfkz+WMmrvqz6DC11JP9aki9Sb6q05IJla05/VmeorUx4qzTFXYq7FXYq7FXYq7FXYq7FXY q7FXYq7FXYq7FXYq7FXzu39/c/8AMRcf8nnxV2KuxV2KuxV2KuxV2KuxV2KrJesX/GaH/k6uKvov FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXzu39/c/8xFx/yefFXYq7FXYq 7FXYq7FXYq7FXYqsl6xf8Zof+Tq4q+i8VdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdir sVdirsVSaXyV5NlleWXQdOklkYvJI1pAWZmNSxJSpJPXFVv+BfJH/UvaZ/0h2/8AzRirv8C+SP8A qXtM/wCkO3/5oxV3+BfJH/UvaZ/0h2//ADRirv8AAvkj/qXtM/6Q7f8A5oxV3+BfJH/UvaZ/0h2/ /NGKu/wL5I/6l7TP+kO3/wCaMVd/gXyR/wBS9pn/AEh2/wDzRirv8C+SP+pe0z/pDt/+aMVd/gXy R/1L2mf9Idv/AM0YqwPULjRLC1/Mi7tvLWjLceSYzPpD/UoxydNJi1BTNShNJnI+Ar8NO++KqMn5 ueYHtJdfW50HTtCtr2TT47DVJ/ql3fS2hVLs29zNPDbxcX5iNWRq8alhy2VTRPzgu5tTurG20H6w 7m/j0VUugJbh9Lvo7C6+tRtEPqiK8vqKxL/u1ZiARxKqCP53XzeXrnWrbQYL2002a7TUb211ESWD x2iwMPqN39XX61LObnhDHwTk6OvIUFVXouv67baJod1rFzDPNBax+o0ECc5mqQAqqSorU7liAOpI FTiryzV/z2hub3TItJ1LTPLlpKdUh1K78wLHcol1p8dnLHDE9lfRwP6iXtapK/hSoIxV6N5I8yv5 h8kaN5juo0tX1KxhvJ40aqRmSMOwBPYe+KsNh/Oq7byxrGtv5alaSw0qDzBZWcF3CzTaVdxzvDcS vKIPSI+qt6qIJGWo48ztir0mC7jksY7x/wB3G8SzNU7KpXkan2xV5Vdfn/FYwaXLqekwad/iW3S8 8ryXWoLFBNbs4q99M0IWz4xOspAEvwmgPLbFU2X819TKaJct5fA07U5LSCe7S9SQete3b2sa2HCN lvVT0/XdgyUiYNStVCqD8qfnh/iGe8jt9E+sNb6fJqSWthewz3caxzrCba+inW0jtLn4ufpmVtlb eoFVUcv5r37WflfURoBGmeYLfSppp2uaPHNrDBY4LWP0v9LaD7c+6cY/i33AVeiYq7FXYq7FXYq7 FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYqwvzD+Vej63qOpXT6lqVlba2kcevaZZzRpbXyxxiEet ziklXlCojb0pEqoocVQ+s/k/o2pWmsadFq+p6ZomumWTUdHsZLZLZpZlpJIhkglmjLt8bKkgRm6q akFVzfkz5WOu6vrouLyPVdVDUu4mgjlti86XJaGVIVkc+pClPXaQKo4CiVUqoWP8lNPh1c6xB5k1 dNSeV7qWdl0uYNdycQ90sU9jLFFMY40j5QonwqB41VTTVvJnmTzFpM2i+ZdYsrnSrgRtJ9Q097W5 9SCRJonDz3d7D8MkalleFlYbEUxVK7L8k9O0/Uk1PTvMer2eoJJcym4jGmHk15DbQz/u5LF4gGWw ioFQAb0oDTFU30Xyf5h8vWUOkaBqtjFoduzMkN7YTXN0zTOZrhpJ47y3jLSSyOw4wqFrSm2KqGm/ lJ5esNA1vREu76a11vT/ANDtJNJE0ltp6RyxQ21sRGqhIVuJOBcO1T8RbFU4n03zfHcLHp2qadDp UaxpFbXGnzzz8EUKwadL2BCWIND6Qp791WMp+R/llHsZV1HUvrOjcF8t3DPbu2lxo5YR2geBkZTX ifrCyHiFFdhiq/Qvya03QtZXVtO1/VUnDmRo5V02dayOZbgI01k8sK3Mru8qwugJY04gKAqj/Lf5 W6FoFwJYLu8uUgsZdK06C4aEpaWU0iyvDCYoonYFo1+KZnbbr1qqpWX5VWNhqGhXtjrepQHy/p1t pNlCRYTIba2AU19e0ldHnUATPCyFgANqCir/AP/Z xmp.did:11604E1263C1E11187F5E9CCA055BAD0 xmp.iid:11604E1263C1E11187F5E9CCA055BAD0 uuid:D9098E846DDDDF11A669AA5AACADF97C proof:pdf xmp.iid:CE256E1165BEE1118C47EEACA5DFFF40 xmp.did:CE256E1165BEE1118C47EEACA5DFFF40 uuid:D9098E846DDDDF11A669AA5AACADF97C proof:pdf saved xmp.iid:BA2AA763FA86E011A2FF8F158748F4E1 2011-05-25T13:28:36-07:00 Adobe Illustrator CS5 / saved xmp.iid:022C86193A37E111B322E735D87BBC1E 2012-01-04T17:19:17-08:00 Adobe Illustrator CS5 / converted from application/postscript to application/vnd.adobe.illustrator saved xmp.iid:65260F2DE63BE111B456AA8A1489FC3D 2012-01-10T22:24:14-08:00 Adobe Illustrator CS5 / converted from application/postscript to application/vnd.adobe.illustrator saved xmp.iid:CD256E1165BEE1118C47EEACA5DFFF40 2012-06-24T18:28:29-07:00 Adobe Illustrator CS5 / saved xmp.iid:CE256E1165BEE1118C47EEACA5DFFF40 2012-06-24T19:47:41-07:00 Adobe Illustrator CS5 / converted from application/postscript to application/vnd.adobe.illustrator saved xmp.iid:11604E1263C1E11187F5E9CCA055BAD0 2012-06-28T13:52:22-07:00 Adobe Illustrator CS5 / converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator Basic RGB 1 False False 6.833333 1.599996 Inches Helvetica Helvetica Medium Type 1 003.001 False HV______.PFB; HV______.PFM Helvetica-Oblique Helvetica Oblique Type 1 003.001 False HVO_____.PFB; HVO_____.PFM Cyan Magenta Yellow Black Default Swatch Group 0 K=100 GRAY PROCESS 255 K=90 GRAY PROCESS 229 K=80 GRAY PROCESS 203 K=70 GRAY PROCESS 178 K=60 GRAY PROCESS 152 K=50 GRAY PROCESS 127 K=40 GRAY PROCESS 101 K=30 GRAY PROCESS 76 K=20 GRAY PROCESS 50 K=10 GRAY PROCESS 25 K=5 GRAY PROCESS 12 White RGB PROCESS 255 255 255 Adobe PDF library 9.90 endstream endobj 571 0 obj << /Annots [572 0 R 576 0 R] /Contents [577 0 R 574 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 1420 0 R /Resources 573 0 R /Rotate 0 /Type /Page >> endobj 572 0 obj << /A << /S /URI /URI (http://www.xilinx.com) >> /Border [0 0 0] /Rect [275.58 25.92 336.42 36.84] /Subtype /Link /Type /Annot >> endobj 573 0 obj << /ColorSpace << /Cs8 1435 0 R >> /ExtGState << /GS1 1436 0 R >> /Font << /F1 1437 0 R /F3 769 0 R /F8 800 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC58 792 0 R >> /XObject << /Iabc2354 575 0 R >> >> endobj 574 0 obj << /Length 18 >> stream q /Iabc2354 Do Q endstream endobj 575 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 108 14] /Resources << /ExtGState << /Gabc2355 780 0 R /Gabc2356 782 0 R >> /Font << /Fabc2357 791 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n`w/x(R\T1B2h7r K$V-dAQ\0j4GCIB%97u%9!^\y( ^)Al\9 " ٷGӮBxgH h@1H!6hxaxOBD>.PkP=(%NeV%p!g%`NS0Q8F$!ëiWm_;!xڡ0 '^B9/I NЋm߽?` endstream endobj 576 0 obj << /A << /S /URI /Type /Action /URI (http://www.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG932&Title=Kintex-7%20FPGA%20KC7\ 24%20GTX%20Transceiver%20Characterization%20Board&releaseVersion=2.2&docPage=44) >> /Border [0 0 0] /Rect [108 14 194 36] /Subtype /Link /Type /Annot >> endobj 577 0 obj << /Filter /FlateDecode /Length 1629 >> stream xWMoGGb5ݝ-g dl" 89(4m3D-c}ޫFrլWyӝ=g[)ؔu~>a۽|1П(mqΌƎÛ.Emդ1GAv1Tٶ<:jGʧ8~5M3Iv|}Ս08z[دYP39fD>6Ov^}FpQ>1`'83d"|ya<_pZ%[:/T}{,n6>nrQ>LB(pvšw^!@O޼zi[SoAlܨߍ$gvA(qؖ-͐qD3U4-]gI?y#OzWd|=1Gq*HkSW϶}uB>>\ޚo{m[};Iuׯ̋wh[Q{fw۳$~@Y蛙8;%dSrɤb?BJ~Fy o,(XFr.n:YgW[s9((fϠyߝ{{F=_a1;;fsA0"Li48zxUm@M8([eYC®KCG76st?|x_9:cq)/ rឃ͘s =͈<:T|9pۄ!Ɖ01k׼K$M]#Zx*ݯe9Ԁ,½3]sxqC5ڨ hG&K9Uܩ'ÕuE*'CH6`1}&4 c,s9\J9%z̳[BcYG8r ~叶AkAz?vtWd;1^8rRs2yofzT UpBHĔCCw48N|>K$`Y#AG\ƿQ$ fSrINdX& Q':(+TJީ8Ka6b`n8ux MG况IO=hx-q[n +8``+Gqn$"*:43`NT!-ƭ>#xl Jmo UL$udu5ld"F}6 3 dydKX<딃LaK6a5ıHp(fLɇUZ36O(TyztP*-5}ʆH4BؓAZ 0hSJ, 4cg&)&Dd]6:#NLiG@?|2R!Zj&ٔϑ-l*cXR-S&ĴUP2%3 +8X!=SpcﴦXřyW&7H.G֬`- pr " d spFo%#˯"9K%Q,œ>jLN J˧X{NNeX0o&>J'#{6rO}72 endstream endobj 578 0 obj << /Annots [579 0 R 580 0 R 581 0 R 585 0 R] /Contents [586 0 R 583 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 1420 0 R /Resources 582 0 R /Rotate 0 /Type /Page >> endobj 579 0 obj << /A << /S /URI /URI (http://www.xilinx.com) >> /Border [0 0 0] /Rect [275.58 25.92 336.42 36.84] /Subtype /Link /Type /Annot >> endobj 580 0 obj << /A << /S /URI /URI (http://www.xilinx.com/kc724) >> /Border [0 0 0] /Rect [225.48 447 429.78 459] /Subtype /Link /Type /Annot >> endobj 581 0 obj << /Border [0 0 0] /Dest (M7.9.83826.ReferenceCont.3.Vivado.Design.Suite.User.Guide.Using.Contstraints.UG903) /Rect [162 505.86 190.92 517.86] /Subtype /Link /Type /Annot >> endobj 582 0 obj << /ColorSpace << /Cs8 1435 0 R >> /ExtGState << /GS1 1436 0 R >> /Font << /F1 1437 0 R /F13 816 0 R /F2 1438 0 R /F3 769 0 R /F4 772 0 R /F6 796 0 R /F7 807 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC59 814 0 R >> /XObject << /Iabc2373 584 0 R >> >> endobj 583 0 obj << /Length 18 >> stream q /Iabc2373 Do Q endstream endobj 584 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc2374 780 0 R /Gabc2375 782 0 R >> /Font << /Fabc2376 791 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫ >)W^.P$MZ\1h!sw4_PE1qdbE0EH(.X*w197JIx5s剢xy"q-lb@r2dv"& g5kDN 9]%8 sqE fѯG$DS3Ră;6+IriK[Zߖj?'=ʡ|~72ْԑJ_%+VK2ufg[XL3ۏ}bH&}%l)l,Q.d|<30-s@YM \0 `NF|B揗^|JʄivP(y _|۾{w endstream endobj 585 0 obj << /A << /S /URI /Type /Action /URI (http://www.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG932&Title=Kintex-7%20FPGA%20KC7\ 24%20GTX%20Transceiver%20Characterization%20Board&releaseVersion=2.2&docPage=45) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 586 0 obj << /Filter /FlateDecode /Length 3271 >> stream xYko۸n`EU%J[ⴹݶih(vj Win1)3s+>uݔS1MX؃a<کs6UBL ݙkKuc˜!_/d֨S׹z6^ނ]=eJ-M AkV&>-Ngɶ f<>EFƬl~NӁo;mC3ZQ}yzv0ͻIwc5E B8EcL"Q ?lՅGD/b#)EeUa;8Jnaesz$eI+"J5n-Q^MuS8 mYuUTΊMll~@>7")*xU62b]P5"i[mEfSԖeƯ٘l l&Hdf))IȾY l[k\MMY_R &pI&huF]#4誾J28gjuIiьy~׽ lߜ+݄aBk0p[ak- ] 0ش܂aݡk\5i@6aWB iTlTԷ}ah m2hSҚԦ`[8a) CVI *O5Ui%:lL5  M4P=N6h#`Vm]z^Q6Th6Q) Q|Ħ1 d+"0hXlu&Eue*tN8&QIIOhBx$ $Q,Eײl  ̺ DPYGn81S*t#_V40r ԮW 3P{NM&&=wu-?٨$-Ea=F  BChF [vt밒e~,qVbs4˳PS9 Ȩ04^T% $%MzBi\ ld#h@ʺ[1<:]xH`IN-"9 6ϸiތ1}[#J 5frUe;=>}Keh}3pm_/_JvPk d t}|=Lr_m1Dn:]c&AP bItrvz-L.Khnh}E(DZUݩt5a9ݿK-y'"ww`0TaIIM'M(J,۪"\ 9z- uEnGdaK[ul;is-rʉL*; ɒSDLo$7Ew6]%QZv.kg$.i~H1pOJJt%d+a+!cnp=<+h/E*ޒޏޏlQ qyђm.Vop`sI wS`7"מn*A<jt)o#.ō UmtFJZR0ٓUFOnyNvV$yA< Ln3}59;ѲI;]vUdJ8G./ggyGQG.Vz:1i"B2=!Zr6raNoF6AG;b61e<~eCWgO0jȭyѶU[,5[95+c,LAat2#-zcί -KC]+W.Li,~wueL*G)fe&"7YZMfcgy6b4jiחOmիɾŝT6~ǕU9A@R i2+qaAVT&31QLqF!Ż<I4PĚ ©r$Ƚvkxt2@i9m|~3)޳J(}0z  C(`ND`l)m|$* KZ#Lc}Q ‰o_QOqAIry}:J\X zXN  Ȇt~wC.ڨ!C٢]ge;_~:<;|7ǏﺑWgXq9ΜNOZ$?q4|pg_ًw' r H;5qԑ&"u+M #{SFhܙ%h2oqFvFm_jxe*zxWXGwvt{&<}$EWy{`wx亪'{߄;:܀^Y Eƿ2 #(}[P?$ `}S><gW_d Ž[嗹w9bڿ%VpjJ:9oǤrg_(p.\k~p endstream endobj 587 0 obj << /Annots [588 0 R 592 0 R] /Contents [593 0 R 590 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 1420 0 R /Resources 589 0 R /Rotate 0 /Type /Page >> endobj 588 0 obj << /A << /S /URI /URI (http://www.xilinx.com) >> /Border [0 0 0] /Rect [275.58 25.92 336.42 36.84] /Subtype /Link /Type /Annot >> endobj 589 0 obj << /ColorSpace << /Cs8 1435 0 R >> /ExtGState << /GS1 1436 0 R >> /Font << /F1 1437 0 R /F13 816 0 R /F3 769 0 R /F8 800 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC60 792 0 R >> /XObject << /Iabc2392 591 0 R >> >> endobj 590 0 obj << /Length 18 >> stream q /Iabc2392 Do Q endstream endobj 591 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 502 /Matrix [1.00464 0 0 1.00412 108 14] /Resources << /ExtGState << /Gabc2393 780 0 R /Gabc2394 782 0 R >> /Font << /Fabc2395 791 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U}IDURj)\Όl iTl?k!HUl)l,Rd!/dy> /Border [0 0 0] /Rect [108 14 194 36] /Subtype /Link /Type /Annot >> endobj 593 0 obj << /Filter /FlateDecode /Length 2166 >> stream xX]S}ߪyRwX-'Eq-@XRl'>{fBH/t91|P}qpv2᭗ tU!1ɰоYmEb򾰵AتEdt5dQ8xߊ[GԮ?t)k41Q|*i;zt--r0Y \x'5BXKΖO(w'?kqItaz'Ug҅|otp"zs\J`YCpH0>9\ f诫h|+WaW60'!KZ74PdhQKsWvKX*I:Kn[#b_[bFFKr_ vB@E,,1!LK4 tf9UMuSu8w#+6H,~9eätTL2vdvnilw%9N3rށw^?=׉aWx()y;l}Hz֋RWy?~-nAZڴ+X5h2:w{x%kao|=nh &pvЛ1R5Ut,QS0>b$Ibp 7DC^#fdm,v]ꛂHGC.nf${fn}B47Pk"7K3/y)"14]H{`n\Kr-!"## +ƴ1`LKqG/A++L^u].ƴbpk(1|_S<ɤJ0 D͹\0a"InE|'js\դqXR1L5\REš($J,i/uȳEk?W(*e eP Rصéeevk44-{hZ_q0x5k dhSd4Iunj~4x$D,ch7; S: = XL =8: ÏZieHhh# I":Z%:DE"3#o'-Y[npbKVid6fMCm{aqYqDYL-90Խwmsq6 hDHK:tC ݣfr,[IG6kRh脡 O-1X-+H@^LA^*|$lIr<&C:d 2DaK9F72,Vko6UN>ciLa^DB $bb%hb㭉l!͆SYJO!CKx9ED1 q&(*sDB hTTxFPDdvt9z2 $F]ٴSIeΘ4y CJ3KI w# 2GvBRSp8m "EOP48 ?a3jy%6\ q&E` ,8"roD"H-%ֳ<)җbMYMRpdf&1Wr)%İۅ=urN_oYVo}:5:?{&d'+oǬ~,^w*w.ƓT`._V5+Dξ;s^^L+pD>XaG5rty|%% hWP&tm.1Zو\lSf)7*cbe`Jɭ$gw3NT} n{=G4XX?yZ"Wg螣Vg6^K,=-O$ǡŘ{iFI;HhY&Z.&hj?-Oh6w'i5Xh-Jv̳ғ6@0A> endobj 595 0 obj << /A << /S /URI /URI (http://www.xilinx.com) >> /Border [0 0 0] /Rect [275.58 25.92 336.42 36.84] /Subtype /Link /Type /Annot >> endobj 596 0 obj << /ColorSpace << /Cs8 1435 0 R >> /ExtGState << /GS1 1436 0 R >> /Font << /F1 1437 0 R /F13 816 0 R /F3 769 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC61 792 0 R >> /XObject << /Iabc2411 598 0 R >> >> endobj 597 0 obj << /Length 18 >> stream q /Iabc2411 Do Q endstream endobj 598 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc2412 780 0 R /Gabc2413 782 0 R >> /Font << /Fabc2414 791 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=knT-=%CO4yrCodE#2yk|Z ip3<8~C25>S~3ȚCC 0y> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 600 0 obj << /Filter /FlateDecode /Length 2045 >> stream xXr6}LRqȉS;FMɸc[&ig$%يBE^$,={w_Z)N_0AV>JF)+)%1&6+̯LʨPBXٛ;^9iٻ LAi3T&O>Gbg`C$MOb7 6<0,M'0<ɠPnXz.7 k@I]ǯ58ɀ'%<: ƒx;:_AɠxXIh3/8n|,{?L/x> 7P}% oF#J;DTZ芟_FZl`߉ԆJV:,H Ti+%V}3nvl{^\'Q''F^.Q옅X.lR/^ՅluUKv'-,A-kF%ti hH)X/!hUa*Mb%[%:FM jp!.ܫ4ٻ$("4*:t7 )J`h:SLbqqy2ɨ28 KhJU`O+:m09W_I% ]14tՊ84X?FS;ZC H'KkhC?cyG4ct⎂ ?V<],ݜ!KVuK9#gx7 CFC !)ĈtDǗ8Db8^DW ݓbbwiʼmuh}>Ǐ5 N[޲0oģ<ɱN_o>ï?篆NX8D>pkǬvYwvZY5`DYw{aO endstream endobj 601 0 obj << /Annots [602 0 R 606 0 R] /Contents [607 0 R 604 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 1420 0 R /Resources 603 0 R /Rotate 0 /Type /Page >> endobj 602 0 obj << /A << /S /URI /URI (http://www.xilinx.com) >> /Border [0 0 0] /Rect [275.58 26.4 336.42 37.56] /Subtype /Link /Type /Annot >> endobj 603 0 obj << /ColorSpace << /Cs8 1435 0 R >> /ExtGState << /GS1 1436 0 R >> /Font << /F1 1437 0 R /F13 816 0 R /F3 769 0 R /F8 800 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC62 792 0 R >> /XObject << /Iabc2430 605 0 R >> >> endobj 604 0 obj << /Length 18 >> stream q /Iabc2430 Do Q endstream endobj 605 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 505 /Matrix [1.00464 0 0 1.00412 108 14] /Resources << /ExtGState << /Gabc2431 780 0 R /Gabc2432 782 0 R >> /Font << /Fabc2433 791 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]77Z fڿc}Lh2< +Sx4SokWU>.W^.6qSs_RƐ d柫=0DI`2R(.Xc4GCIH焟D+E̕'R(fZĀ0dDP|}yԬ9*{braXt(~R@F QD Guţ}"juZG):JJ9Lڴ[쥭}-UaoKey͞\w>?dQHLJ\[-<8יq|mau3 l?kC2O-j}'dH5aSq ܟBd#S:q|sLѨ\u$sx2W4@wP"]@ %Ρ\?|۾{w endstream endobj 606 0 obj << /A << /S /URI /Type /Action /URI (http://www.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG932&Title=Kintex-7%20FPGA%20KC7\ 24%20GTX%20Transceiver%20Characterization%20Board&releaseVersion=2.2&docPage=48) >> /Border [0 0 0] /Rect [108 14 194 36] /Subtype /Link /Type /Annot >> endobj 607 0 obj << /Filter /FlateDecode /Length 2175 >> stream xXr7}gUUBv0̾-e1RR*-8ܒDbl~PLJDE>/w->?]>j6,uƃ-ʗX[U*]Ƥ[RuR!w;,]M;2ʨJU+;pw*qe8z}h/v:ctȐ[`t˗N(oëMkuSR"RJ]ۧH~BV/Ձv6q,t,hXuฌ;78_'F];Ҏ,F[XlBeߏkg/V_:]2Spʔ"U,aa83T-K(TӜ2ʹL@`ȝ2]6{ };7WoC/,2g ')Nue߾ q9XV'oɟeϕp8Vo:ʟ[f3?m A{*l# ߀6- K+ +ջ=w0y=.A~! S9.ͧO+Awt5p׽KGgTUw}`5FVyes:uTsr}@C^xAT}hinfD"_d/y)$r "K@p:G}P{OXbzA9us3Ƭw4j2u4 upu-+Aœ߽.&U_tEo68<5&ÔkA")䖜ŗpos뇚63Ps41fY&- Lj98+SC,Ϻ=r]ũ2PYΫ q?-j7e`/N#U.-??.ķ+&(]xiåe@+dj8ki"g%Gʀ%gI­uW?$$ZgCCv:\4hN"tt?fcxw(3?*˧#J$zX#U?ZBD f,G"Nz Kݓ i%&5N2󮡶AAаLC"ҍ,zBC^zCM6 =Ѐ:uG7-0x#MlVе.h Z4y GJ3K"RɤFȻR b#}NZ/!C J?u0ѝVKhvy;M6pN}Wb#H0˖06(fR i̕b |BZֳFv.y$}dU Hpdf.1Ur)$CL:j͚`r`s?VV"߬H-N͇b@rL]w&Lcnuh\8,$kʙY oQcl U-S9ewavX?imeUs ,nG河^d-^e.]V!Hg\by"݌jo=D4@4v'h̴+"L i+́72ӎVIJ_0rՏ#I;ii3tEL۝ii#3x%,fZv"]ʹz3*nL3FfXv2LϚiT1il`i3A™v> endobj 609 0 obj << /A << /S /URI /URI (http://www.xilinx.com) >> /Border [0 0 0] /Rect [275.58 26.4 336.42 37.56] /Subtype /Link /Type /Annot >> endobj 610 0 obj << /ColorSpace << /Cs8 1435 0 R >> /ExtGState << /GS1 1436 0 R >> /Font << /F1 1437 0 R /F13 816 0 R /F3 769 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC63 792 0 R >> /XObject << /Iabc2449 612 0 R >> >> endobj 611 0 obj << /Length 18 >> stream q /Iabc2449 Do Q endstream endobj 612 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc2450 780 0 R /Gabc2451 782 0 R >> /Font << /Fabc2452 791 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=.PkP=(E'ܱYZ)Yv0m11:}ٓ#,J[㫤̵R̃sV7Ө#x?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶_|ݝCvhw%TKh8rݛa endstream endobj 613 0 obj << /A << /S /URI /Type /Action /URI (http://www.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG932&Title=Kintex-7%20FPGA%20KC7\ 24%20GTX%20Transceiver%20Characterization%20Board&releaseVersion=2.2&docPage=49) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 614 0 obj << /Filter /FlateDecode /Length 2053 >> stream xXr7}gUUy!Sw vs7JR*amIM1vv>!)P"@wz@7 ZDBjؔO Ll'W_QkT7Dt^Zx儦/wg?Ln`*9SKexpp? 6H l_6WR)x2ba&Qv(?Qq8ŀLË4/|sy1dq1_bq9G(l}_pf-Mȍ@g<9C>|%P}yuyCo9]18ƧZ 1,UDŽ `=ti4;Y'kE1%/f_}ȿ8F2|oe$^NVykaCWhC(4p6P8r %H]kD+<^oX.7-k@.`+]ǯ 8ɀ'(8@kՙb 1$pY\XaIr#EQȹB\ \'|=4e7p/yuAm2YJM; L*e6xDM,0{4Z4dYq)>丱k9/a 6#ÖV"1.j:ʝ͎6KJYs4=FWg ܎#ERRԭQVåTPc[/ZNeBݟ*@K姕E ٬5Ō!;8mͅLnӐE`0^@="?(EꪈƜ96b&wdn;Mb$GqNe_sT3)fAق-|tBI,2es=>NUyqg(]HϾ✟o糷,o^8fc,|k) Vc_4F?l=<;)y!4 lY<# />|wňi st{,~,%J-߼O'ˋ}2:~LNtSX05=1=mG찿Kec7khnӖ޺ƇҶiv|ge?l=Obv螶-1bTqO=.WN\B'q>zO=~HiOv~@U9*DžGrz4@)ttI endstream endobj 615 0 obj << /Annots [616 0 R 620 0 R] /Contents [621 0 R 618 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 1420 0 R /Resources 617 0 R /Rotate 0 /Type /Page >> endobj 616 0 obj << /A << /S /URI /URI (http://www.xilinx.com) >> /Border [0 0 0] /Rect [275.58 26.4 336.42 37.56] /Subtype /Link /Type /Annot >> endobj 617 0 obj << /ColorSpace << /Cs8 1435 0 R >> /ExtGState << /GS1 1436 0 R >> /Font << /F1 1437 0 R /F13 816 0 R /F3 769 0 R /F8 800 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC64 792 0 R >> /XObject << /Iabc2468 619 0 R >> >> endobj 618 0 obj << /Length 18 >> stream q /Iabc2468 Do Q endstream endobj 619 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 502 /Matrix [1.00464 0 0 1.00412 108 14] /Resources << /ExtGState << /Gabc2469 780 0 R /Gabc2470 782 0 R >> /Font << /Fabc2471 791 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U]hߟ}j]֠zfQNtD'W9F&Y:)WIkp:3ϳ-nQ~C25>S~Y2X> /Border [0 0 0] /Rect [108 14 194 36] /Subtype /Link /Type /Annot >> endobj 621 0 obj << /Filter /FlateDecode /Length 2222 >> stream xXr7}Bv0fMn7&UNJe[(S\ۻ_Uc| 0Cfl=G'essx .Mo w3 f6%vd)7ډ5 F|R;~m}V{#Vo&ɔi8ѵj.PsKLsh%f:DbiZ}{|UO|}ߐl8Im=E̴֐,lA;'Dz:,HX~=ahG~ ?5Hf>NX>#GBG"?{kѿmC,pF4Z]wzpp]~.;TC>J>r]~K4w[~gx; ѠFpyT Ψ{859k$4i]fs:uoTstnmFft!W73=7>UͿ9 3ȟ3byW"r "K@p:G}T ɑY~!܉E*F̡cqѠ_2f,ܜ1=; z]1z;wt)4\]ˊíaP}KToɠCIA@ffSh:L\04hC$ܒ*ܾ)bsi9uLcpj9CaVEmgHFX&5cgu;ϳ.j\q̡1T\BA20 uN#U.-??.ӊoWLPHCKˀVgqҺE`K6KΒ[WDhe psѠ9 Ӌӑj!Q%Eg)-6D6B+$cȷI&̄@X Ed'%Ҋ%KL$kdd6݄aC0 H7N~[Ps awm 4eM6 ZҀ")to#2al-VL d/ptAڒg% K)=d )IĈ-M3CYƤcU`]1ÖߚY%\+ x3@u2CNk t^R%*+8t5($@6X"ʈ&V=*& B7,3IOAơI:9^NsBc#;'E01ш+hY|r}ٕwOܛWWj8"#7V4zC(n-( ˼=rNNl36bNܜj6Ӱ$qߥsNZPv9n9k)w9wS5H|:M_M2;[Ȯl2 [fLfG¿M` o޽^_Jv/ OxdN=6jcyAZwk~dV?Sŷɾj~jV?f̪m+kIUIST;˸6Z>x%Qz+q9+V6*s[7)Ы9rg u/dY/tDW__u'?Xg*lޝP5}G}af9SG"gM06Bp&}q_vw OmZgŗ CMK-[~zn5򴐝n/;S endstream endobj 622 0 obj << /Annots [623 0 R 627 0 R] /Contents [628 0 R 625 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 1421 0 R /Resources 624 0 R /Rotate 0 /Type /Page >> endobj 623 0 obj << /A << /S /URI /URI (http://www.xilinx.com) >> /Border [0 0 0] /Rect [275.58 26.4 336.42 37.56] /Subtype /Link /Type /Annot >> endobj 624 0 obj << /ColorSpace << /Cs8 1435 0 R >> /ExtGState << /GS1 1436 0 R >> /Font << /F1 1437 0 R /F13 816 0 R /F3 769 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC65 792 0 R >> /XObject << /Iabc2487 626 0 R >> >> endobj 625 0 obj << /Length 18 >> stream q /Iabc2487 Do Q endstream endobj 626 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc2488 780 0 R /Gabc2489 782 0 R >> /Font << /Fabc2490 791 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3 FTSo]]Y=U]dߟ}j]֠zfQypf%fhڴ[쥭}-UaoKdOM\w>?dQHL_%ee8>϶GՂwf^_ DZ`O6Oaeΐ"ky/ KqܟBd#SZ8` hT:VE9 ?^|v+9)C ( 'C9OmтGŶ5 endstream endobj 627 0 obj << /A << /S /URI /Type /Action /URI (http://www.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG932&Title=Kintex-7%20FPGA%20KC7\ 24%20GTX%20Transceiver%20Characterization%20Board&releaseVersion=2.2&docPage=51) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 628 0 obj << /Filter /FlateDecode /Length 2039 >> stream xXr7}Q'vL*Iq%6I~O7fxPB@`W﫣owW SG郐(e46,fvyIJHy M^~``*92eٰ:F -U6 lT kvb80|~^ki{2`֗wu{7eO<߯fW"dzWV<^Faۯ/`K` O (OT~P䟓-i?hzʞ1%P 49!]Uė `?iLZQkiglɋŞ5'#O}j4v*sF2t -:6D2"vi>2Up2g>PXz.-k@I]?_[pO" qkyM4B7wt2q3Aɠz0f.2gcuYi<^Og|6l Q}e F#J;DT Z膟TFZl`߉ Ԇtc5}SVkRg}m7k7)7)ͨ#ȀkT~p&;faBJAR^ׅllt]FzT5Lq4\f,ڗV`А 4zE.* 0&v9b aAt2dlja q^ltO%F5\0(ހ  {uĆp UQeqvq!)!փ%>pm^K +bi; #/pri1~w 赆 J0eiBO&K~"4"he3~yY9C,rFG?nBR 41q{UČ!pd  =]'QL32ӘyRx~87k-5nxpSnz)΀wZ},A@''򴖉DٰEDF)Y VHvS 2[aPM)j݃Mg! K3 %R!^761 da DžkbpsXc$~3H1j*r(Qb4FW*I5dl10Eٱ?ݫ?gN2Y"#` N;9._ݪ$/p5=hlKK[I76߆\bK]8K {4KsnTW*'f4;Vt!rRvp$=E7+fin;8StɥvvhZinvёu֕]٩ \fZ?E7{ѕt֥t.mYCu3mvf*췛.5wM66]*m uuwn=`40#/6~6ͺ4~liTƯ7.T9wt p j^av"zgŻҧiةۥ1{>|UER endstream endobj 629 0 obj << /Annots [630 0 R 634 0 R] /Contents [635 0 R 632 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 1421 0 R /Resources 631 0 R /Rotate 0 /Type /Page >> endobj 630 0 obj << /A << /S /URI /URI (http://www.xilinx.com) >> /Border [0 0 0] /Rect [275.58 26.4 336.42 37.56] /Subtype /Link /Type /Annot >> endobj 631 0 obj << /ColorSpace << /Cs8 1435 0 R >> /ExtGState << /GS1 1436 0 R >> /Font << /F1 1437 0 R /F13 816 0 R /F3 769 0 R /F8 800 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC66 792 0 R >> /XObject << /Iabc2506 633 0 R >> >> endobj 632 0 obj << /Length 18 >> stream q /Iabc2506 Do Q endstream endobj 633 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 108 14] /Resources << /ExtGState << /Gabc2507 780 0 R /Gabc2508 782 0 R >> /Font << /Fabc2509 791 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n` >)W^.P/ Jcȅd2n~A1H[&!#((`aԜiJso"J*sBDQ@\Sٸs@61 Ej92;0o5"]zϐ&FG7uc*.BlH,>U]hߟ}j]֠zfQJxpfE3Rӳ6{ik_KaRGcb>tD'W9F&J[㫤̵R̃sV7Ө#x?$_cxy /K"r!/dy%JivP(x Ph'-:A/} endstream endobj 634 0 obj << /A << /S /URI /Type /Action /URI (http://www.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG932&Title=Kintex-7%20FPGA%20KC7\ 24%20GTX%20Transceiver%20Characterization%20Board&releaseVersion=2.2&docPage=52) >> /Border [0 0 0] /Rect [108 14 194 36] /Subtype /Link /Type /Annot >> endobj 635 0 obj << /Filter /FlateDecode /Length 2150 >> stream xXr7}gUdj۾ɔƒerI pKe9=C  E/R7 0]ǨOcNIEJirj:ֱV|I1TIM?u|*sR!W9Ρ4,IV/WF5TYy^Nͅ.K1|t mN&Cm38-Ӗݯ_B}W_ozM'׽_ HAai4<- .?# ][׃TwN{/E|ӳ`_FS2V'B<.p69Onԋg***HSa6@TFΪ_?lQ`)5e*p)'dtT-"*bA0f1D&%pH^?!Nӽ_z:0_E`?HS6vfRfzEԹ;!;{s' fzWa( J~5WᨧSWE~,?;|jP>1핏O߫߀6-@nFs|z7uM\nfzq[0CaTɧxsp6B~'Wz4j cwTM0FUu7ə1Ф9Z5fuj粲:usrс\LyA\]U47Pk]C(-" bb|$) :;U+]K8@L  R#7 2 'SU;uc):8,HfFrTeZ!Dŋ1jܪj>r YNJ""8tZhD;)j Ld3/Tk 7+,1IO]t:B 9X##Bn\4uJ*z\#v2f2O;]Rd!ZlzԩB3 F6#T)cT2a0!p)JÑxZq;Aʐ?u0љli8 dSApN~DM9#Fg-`m")Q h4#Jq}]D4W + vIPH6j'qJ'JV%Iw)aAqW1gRA71 3s1}I۬Hؽ.v:MgcF䈙ó#CMR?]N;㾽xsh3/YT>h뗲unH`0ö sd0s"8EubM&mU{5VllQq=-Wrl'tbV!y@6c~:IsڦsȞ: e9Cm"_6-Z eE{)69q{fniv2N3<,4fU f̵~?4 e?o3B@ަYxi.N{fl2}M4*ie:4ӞYz4;ouY}]u;fk_6-m:ƭ(7n`2Ц_\i־lV_v;Bj9sW;ś{:V#``wery]OL endstream endobj 636 0 obj << /Annots [637 0 R 641 0 R] /Contents [642 0 R 639 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 1421 0 R /Resources 638 0 R /Rotate 0 /Type /Page >> endobj 637 0 obj << /A << /S /URI /URI (http://www.xilinx.com) >> /Border [0 0 0] /Rect [275.58 26.4 336.42 37.56] /Subtype /Link /Type /Annot >> endobj 638 0 obj << /ColorSpace << /Cs8 1435 0 R >> /ExtGState << /GS1 1436 0 R >> /Font << /F1 1437 0 R /F13 816 0 R /F3 769 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC67 792 0 R >> /XObject << /Iabc2525 640 0 R >> >> endobj 639 0 obj << /Length 18 >> stream q /Iabc2525 Do Q endstream endobj 640 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc2526 780 0 R /Gabc2527 782 0 R >> /Font << /Fabc2528 791 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫>)W^.P$MZ\1h!sw4_PE1qdbE0EH(.X*w197JIx5s剢xy"q-lb@r2dv"& g5kDN 9]%8 sqE fѯG$DS3Ră;6+IriK[Zߖj?'=ʡ|~72ْԑJ_%+VK2ufg[XLZ[/d"Xl˒5_@ʧ9 ?d#98` dT:I*dx)UD=ΡLH9vhwe™piE;i z7}b endstream endobj 641 0 obj << /A << /S /URI /Type /Action /URI (http://www.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG932&Title=Kintex-7%20FPGA%20KC7\ 24%20GTX%20Transceiver%20Characterization%20Board&releaseVersion=2.2&docPage=53) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 642 0 obj << /Filter /FlateDecode /Length 2057 >> stream xXr7}Bv 1xHYNkͦ*I pKmJkgsEDEBsN73MŇ]V&ȦG郐(e46$vyIJHPy'25+'4 x;{1T)(squL -T 1H lW kvb<0|~ ^ki{x{~ x;L?Ol^M^p~m`6#X'1eP@ ˗/ɿfg {15A`it %B"n !v?+wI֊ZKc8c+^Xy_Y#~}6'WC?Q:]ѣETf4p6P:HEخYO+:GCb PC'LpAuEK2py;qMb2In1`ASAx/t)|ŕ8x9 Qe0vQ8 glOX K:_ /'#*;dTZ䜟WFZl߉ ҂DkYǪ3}[輧|./n}ou]oJRMQ''EP^q51+wcmR pMy/gcլ2;i n3NɤkK]CJyƢ}i 8FeĮf\Y[yZX f Zჸi7驍%(at7 )Jo@w.{u̢qq}҅8eyvqiqTf`O+\:m[n?57ߊ k biwVͥ*c4=x1؀^kh,-Rļu҃?cy#1E^i yz!\[wNqw0d4Bh@Wy|VƤٟU"2Q4:28^1tO)Liμmuh<(?\e oxilc7=yIg+;- i f1eC 9tupDdQ76|:RdiKoJ!K=Hن z61ymgʖ"L%.1PĄxk BKcob)Ge" /F(>b\EӔaཔ ^iƃW#6?ċ/xN7 ٢ ?MFu"*VpT6Ȱa '6-@Mݾ~j(b8lN{ endstream endobj 643 0 obj << /Annots [644 0 R 648 0 R] /Contents [649 0 R 646 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 1421 0 R /Resources 645 0 R /Rotate 0 /Type /Page >> endobj 644 0 obj << /A << /S /URI /URI (http://www.xilinx.com) >> /Border [0 0 0] /Rect [275.58 26.4 336.42 37.56] /Subtype /Link /Type /Annot >> endobj 645 0 obj << /ColorSpace << /Cs8 1435 0 R >> /ExtGState << /GS1 1436 0 R >> /Font << /F1 1437 0 R /F13 816 0 R /F3 769 0 R /F8 800 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC68 792 0 R >> /XObject << /Iabc2544 647 0 R >> >> endobj 646 0 obj << /Length 18 >> stream q /Iabc2544 Do Q endstream endobj 647 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 108 14] /Resources << /ExtGState << /Gabc2545 780 0 R /Gabc2546 782 0 R >> /Font << /Fabc2547 791 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U]hߟ}j]֠zfQNtD'W9F&Y:)WIkp:3ϳ-nQ[#x?$_l˒!E_@Os#%p!g%`NS0Erձ*Ujَ>E tw%BJzڡP/v҂bwo endstream endobj 648 0 obj << /A << /S /URI /Type /Action /URI (http://www.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG932&Title=Kintex-7%20FPGA%20KC7\ 24%20GTX%20Transceiver%20Characterization%20Board&releaseVersion=2.2&docPage=54) >> /Border [0 0 0] /Rect [108 14 194 36] /Subtype /Link /Type /Annot >> endobj 649 0 obj << /Filter /FlateDecode /Length 2171 >> stream xX]Sc}wUWҕ70Oϐ-xNf33ɯn]c\FRw>wm}}PزtJ[RRQʗX_ZԵ1T~JUN|Y)C"wX :𚍺x0eNR%y:%,`mUo/sro+,!1|~Jr|+m mV"I$C/L"1c)8V㤧 +=V,~\b"Y#$1j+L 4$"8͢g=oA!4ah[HkLБSLW=ahn&:2i&1 :9:S+=Kg% K)=kBdbĖ&Ё!׈,curxXnjATgpؒ*M"(e͵B Bo6UN>ci0Ju/UZ"!ZBD:I(lDM0{UXn6,Y`gCtr挢FvN0hDQ#"eHS3j'a&-pГ!l4$xM:1PHw&aq6*eYJ&6 &DލR6,e& Jp8i '(CFwZ,vГL6pN}Wb#H0˖06(fR ҼHqu |B[ֳFv.y$}dU Hpdf.1Wr)$CB zqJ_o[6o}:5韺9L'7?7/X9+zY'n&LgoOƝ?ʻ}`!+)+ߛH~X!g5rF~$lZ@@7K@ʡm$ R jV@q-u_!U s3h9agA 9):gȲb3V& _y6o[`Y[%rZ> 5vEPSnjpM;k$lʥY(=KO1>[fpMȎZeiejNÖc23f Mه6oYui%gf Yq;fniv֪_w̭ʎ8 BU~:Xy|n% YMcxިD=i6;_Xg6{nleGÉy.(xz6ϓ\P'~P悂;`5ݽtPz1 endstream endobj 650 0 obj << /Annots [651 0 R 655 0 R] /Contents [656 0 R 653 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 1421 0 R /Resources 652 0 R /Rotate 0 /Type /Page >> endobj 651 0 obj << /A << /S /URI /URI (http://www.xilinx.com) >> /Border [0 0 0] /Rect [275.58 26.4 336.42 37.56] /Subtype /Link /Type /Annot >> endobj 652 0 obj << /ColorSpace << /Cs8 1435 0 R >> /ExtGState << /GS1 1436 0 R >> /Font << /F1 1437 0 R /F13 816 0 R /F3 769 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC69 792 0 R >> /XObject << /Iabc2563 654 0 R >> >> endobj 653 0 obj << /Length 18 >> stream q /Iabc2563 Do Q endstream endobj 654 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc2564 780 0 R /Gabc2565 782 0 R >> /Font << /Fabc2566 791 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n`M w/x(R\T1B2h7r K$V-dAQ\0j4GCIB%97u%9!^\y( ^)Al\9 " ٷGӮBxgH h@1H!6hxaxOBD>.PkP=(%NW!ӘV tw%BJC (aNs(_|۾{w&d endstream endobj 655 0 obj << /A << /S /URI /Type /Action /URI (http://www.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG932&Title=Kintex-7%20FPGA%20KC7\ 24%20GTX%20Transceiver%20Characterization%20Board&releaseVersion=2.2&docPage=55) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 656 0 obj << /Filter /FlateDecode /Length 2035 >> stream xXr7};%ˉ1w7UIʥe[hS\;_!i3PB@`7䀘˷LM#!Q ilJI,o 2j-*6bNDejWNh2B~Z\M.fZl~m`6#X<7Na|Ay2ϟ?~ ]nI!'Vv1x"5Ps  ^!MVZ-y1ce"|q`yHP,;Z广 tGilpFo  %H]kH+<Glԃ% `}G$LpAvExddHcDI= ^x'nKqb8;Uo:c+"s ȲOzLD,`dt׈WBn[֌J2RGАRh_ZAC2*59 28T,K4k56w5CGt226&ՙB\i_6 /%F йaHQ:8@ՙb 1$pkH#93t@Ӥ wT+F^`cMO50k *4`",M[ǗXEiD<-J; 'Xt!ts.YY-䌎;B~'  -#it_1q{Č!pd  =]'QLiʼmuh:RIJ]˞ S/Myb^CBz.kt/  ^R<6;>YX,ē#5<=S_gsN81z«!,!_ʁ{:2V9Px =+5%&K11ۖsewiTRx7eQ\  >N>V^SO{E,cӳF=qOH]{lnRwdzvkFlvL~m-6OʹznϱWZN{D4{u^чf#u^1fuQOGZWfOf}rnf.iwnEgI3wnݬOtWY?GͶ~-6g}>Fpnt8,> endobj 658 0 obj << /A << /S /URI /URI (http://www.xilinx.com) >> /Border [0 0 0] /Rect [275.58 26.4 336.42 37.56] /Subtype /Link /Type /Annot >> endobj 659 0 obj << /ColorSpace << /Cs8 1435 0 R >> /ExtGState << /GS1 1436 0 R >> /Font << /F1 1437 0 R /F13 816 0 R /F3 769 0 R /F8 800 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC70 792 0 R >> /XObject << /Iabc2582 661 0 R >> >> endobj 660 0 obj << /Length 18 >> stream q /Iabc2582 Do Q endstream endobj 661 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 108 14] /Resources << /ExtGState << /Gabc2583 780 0 R /Gabc2584 782 0 R >> /Font << /Fabc2585 791 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream x1 #;78R= P+!Н-] *x{2mEQv>;_oXo`@ǾwЂ)< }ߕ9p:൫D|h|x8t C4i_rmA $査ț.~A$V-l!x˜Ti, $dNW3W( !r&H-'Cf'j2@}giBxg(eoR}3A]wĘ7P>Jhx!I? 5\fP=(%NtD&r;L$u$[dj.\fy4. }bH&}%l9l.Q.d|<20-S@YM 13`NF|/B揗^|J#ʄC3(cμSH/ڑ&ն, endstream endobj 662 0 obj << /A << /S /URI /Type /Action /URI (http://www.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG932&Title=Kintex-7%20FPGA%20KC7\ 24%20GTX%20Transceiver%20Characterization%20Board&releaseVersion=2.2&docPage=56) >> /Border [0 0 0] /Rect [108 14 194 36] /Subtype /Link /Type /Annot >> endobj 663 0 obj << /Filter /FlateDecode /Length 2187 >> stream xXrG}CWLo&Qgc1[T^q+23z(F|v7s4z¨6TQU唶.vu4FUJW1תv:'ZxR9TlҕŃQwlQ_ []h mǜCZ etG#z?J2^K.?V?%.bPؚj 'gkq§ H~BdOՇި6y{Y[(7c&Oqwn!Eq~~̧{ήoj , *kRi5 FxU?>YmW_~+Se-{wi8֞S_.P+KLsjh%f:D骍K}4EN6|49ͯabHp"ۻYJQތ,ՍlX̛~IeuAYnv cY\N=$޴1e#h hc+Ui+|@LVڴ+X-h:wljXAf! 5S9.b%i2]b>9 nP5U'X&`bƕ&Ѫ%/\V;{ӵuo 2]ry3%sKKDNjZj/y)#qY94 %9nknP1f,܊1=;2 bjwh)4\β05 /(nu1t)(*nWTfKh\?LZm$*k<绚63Pk2[ ;WccQ6(Jpˤ64W[jhܮ:.4r]m1Rܴ ݔY`p"0J+nPxiyah XC<,⵴n3XMU$ab?x@uKCv:Lv.4uz:z:XR^St&iHhh# I":ֈ|DoK=CdFZD1DFIfc޵ָ}Pxo64,57iHDqE{ނCh*xWѶdc  iY.I9ahn&4o d/ΎN8xj`"yidJڮP!5GbĖ3CYXƤc`N rlaK9F73F" )%*ތ[u2!Y+):TidN+$QF4Va ta;41H84I'inP b[&Z(*rӭSQAq'mGZ'Bl4dxKM:1PJwfaҕp4Uذ$"Ljn#ȂXˑ݁FZ '!ReL?u0L"]zi;d)g 8% 72M"%@R HqUD>!.- F\$AI$Vɪ@t(_=ҔLbs]1ϩ!}iIx۬H׻,z>eE}lzC!+LR>LXY_up`_MT~>#9SuV^# ;"Q>->F= };Aـ!~{@CNl]ObYnCnt霡 kZ N:9ߞ~ͿN惋?/A~X o?) @@ ĉ|0b 0"?lM/:1v/0AlZO/l>wI1gzaCnG-NU?kiۗ։`jiwꏰ ۖI'8YK+n_Z6uvRZ驥u DQic/X`"nxO f'%:<#8@;/C>NĸFO2S:<&twZ`<'tvP`=(9)qt nJOA7$o1>|lnwzf7a.h endstream endobj 664 0 obj << /Annots [665 0 R 669 0 R] /Contents [670 0 R 667 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 1421 0 R /Resources 666 0 R /Rotate 0 /Type /Page >> endobj 665 0 obj << /A << /S /URI /URI (http://www.xilinx.com) >> /Border [0 0 0] /Rect [275.58 26.4 336.42 37.56] /Subtype /Link /Type /Annot >> endobj 666 0 obj << /ColorSpace << /Cs8 1435 0 R >> /ExtGState << /GS1 1436 0 R >> /Font << /F1 1437 0 R /F13 816 0 R /F3 769 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC71 792 0 R >> /XObject << /Iabc2601 668 0 R >> >> endobj 667 0 obj << /Length 18 >> stream q /Iabc2601 Do Q endstream endobj 668 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc2602 780 0 R /Gabc2603 782 0 R >> /Font << /Fabc2604 791 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=U]hߟ}j]֠zfQNtD'W9F&Y:)WIkp:3ϳ-nQU#x?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶_|ݝCvhw%TKh8rݛ endstream endobj 669 0 obj << /A << /S /URI /Type /Action /URI (http://www.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG932&Title=Kintex-7%20FPGA%20KC7\ 24%20GTX%20Transceiver%20Characterization%20Board&releaseVersion=2.2&docPage=57) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 670 0 obj << /Filter /FlateDecode /Length 2169 >> stream xWr7}Bv}HjJR,-8ڒDn DIHz}FLOan3eYt^HMQLFٟI /Mʠ(,B)&2gENR E^߭3t) &%E(DW`{:+9E3 ߲_GaJ8,^F<~4el\Gi4.&dNG_L/7`|1ihLF1Vz I*o߾5}!]C}yuyC]o9_nR  ,-ǤTH}A0ng4wV+u3 HBh[㫖8N:HsPih+=fxJgpFO)PۖuJJgs-/@ݸbo@:>T\t{P]\^7$D G &#h U~gsz%~>b)pkI7ʶG/3%kj= 1HV`h*ILfqaq8ׅ8ey6(*es`OxUܴԀZ*)93zbi7 N+FNRPcъ~Uxhl@4qTShļH<"4x`xD^N#KvStC9#)?Vn*#U_Uc, IM(z ~]W0tG-)ou5*Ә93֢p7\*@Sn:(πwZc c1eI 5tupDd4Qޱ7r▞|ZhhK/%*LD@^1yP!U[*a/Tի@kL.lXaI"k @  T>b\EӘ`]uAY.vkIF2Ҥj!͎S8XJO!卡t2^Ns"OUؘ8 gJ,(r 2SP@ђ@\? ゑ%gӡNIM0tR) dʙQX9Ǟ7r8WP :Nd =QRettp҆n4*n$ZUn@$?)g 4%dMCJzA!8Zј+DŽDISR QOyJR֔D!{Fr TzFUJdMB 7K,{ v4gh2Y:z?O8Rity<ފ6['v@~8oZ]6oOEKi5\EӮw_(RItSt}"1KA 3!E>( |RDtG8E]+9ݕO[Y~6;/kЫqY.em>Y٬{hfaldlqCUzjh`6Qhb:lσlTF|b^[^omN?G.)b i׊Ox")ue6o~XDo_.UUv:ٿF-`u(rg^<ʗrH{N촷=t~j[ç[~CRaz'-ݩ+rllu޾y3mg1[G·ú|V|=Cl ?UX]D,H/D/fGC.h\i|K`YzڭfOU'h?{O{߸W<~R9\sy[TDNutٻv endstream endobj 671 0 obj << /Annots [672 0 R 676 0 R] /Contents [677 0 R 674 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 1421 0 R /Resources 673 0 R /Rotate 0 /Type /Page >> endobj 672 0 obj << /A << /S /URI /URI (http://www.xilinx.com) >> /Border [0 0 0] /Rect [275.58 26.4 336.42 37.56] /Subtype /Link /Type /Annot >> endobj 673 0 obj << /ColorSpace << /Cs8 1435 0 R >> /ExtGState << /GS1 1436 0 R >> /Font << /F1 1437 0 R /F13 816 0 R /F3 769 0 R /F8 800 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC72 792 0 R >> /XObject << /Iabc2620 675 0 R >> >> endobj 674 0 obj << /Length 18 >> stream q /Iabc2620 Do Q endstream endobj 675 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 108 14] /Resources << /ExtGState << /Gabc2621 780 0 R /Gabc2622 782 0 R >> /Font << /Fabc2623 791 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=.PkP=(E'ܱYZ)Yv0m11:}ٓ#,J[㫤̵R̃sV7Ө#x?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶_|ݝCvhw%TZB9O_'Ŷc endstream endobj 676 0 obj << /A << /S /URI /Type /Action /URI (http://www.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG932&Title=Kintex-7%20FPGA%20KC7\ 24%20GTX%20Transceiver%20Characterization%20Board&releaseVersion=2.2&docPage=58) >> /Border [0 0 0] /Rect [108 14 194 36] /Subtype /Link /Type /Annot >> endobj 677 0 obj << /Filter /FlateDecode /Length 2263 >> stream xXS9T_1F}BH`!0mUvyY_&7_g v1p_@^wK>0Sc tAic)m]Qj2lѰ|IQiSg*sȕO3eh(.Bjx:2){o2QW y׍Q`_McmP|`a=bU1O>xi!0JyB*M C NbMDQG$KEʃ͎% LSa14I'4W, lD`焱 k%j$J*DB I)p&iG-pГ"; M:1Hw##VIc d Qr,g>9kHpi@ƐXFwZ! ,Zڲ!Oa*7 kE%5F\$F&4D,3BbE4q6ED!=UF\$$}U*$59rʇcnT$&Xmbmg1}|GY9{;'O=6g=u1'&W? ٘oǓT:=o-}Ǯ ro?=YM lp~J@oУ6<"=@߱mz:l*nÿ7tCxn;u&ktC|J7l>*K_݊kVs]Cl=z9ZQ$6ۮ7k?w RۏY|ˡlw{JaؠX;8u˳- dV]6ѶꬷnB+t{ۍk/ZĤT魋-98=> endobj 679 0 obj << /A << /S /URI /URI (http://www.xilinx.com) >> /Border [0 0 0] /Rect [275.58 26.4 336.42 37.56] /Subtype /Link /Type /Annot >> endobj 680 0 obj << /ColorSpace << /Cs8 1435 0 R >> /ExtGState << /GS1 1436 0 R >> /Font << /F1 1437 0 R /F13 816 0 R /F3 769 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC73 792 0 R >> /XObject << /Iabc2639 682 0 R >> >> endobj 681 0 obj << /Length 18 >> stream q /Iabc2639 Do Q endstream endobj 682 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc2640 780 0 R /Gabc2641 782 0 R >> /Font << /Fabc2642 791 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xMAꨗJGA$񐝍.h@[=3' FTSo]]Y=.PkP=(E'ܱYZ)Yv0m11:}ٓ#,J[㫤̵R̃sV7Ө#x?$_l˒!E_@Os#%p!g%`NS0Erձ*U櫶_|ݝCvhw%TKh8rݛ endstream endobj 683 0 obj << /A << /S /URI /Type /Action /URI (http://www.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG932&Title=Kintex-7%20FPGA%20KC7\ 24%20GTX%20Transceiver%20Characterization%20Board&releaseVersion=2.2&docPage=59) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 684 0 obj << /Filter /FlateDecode /Length 2162 >> stream xXr}GUa F3Gے*qtQJM2vr \Uy13ݧOo(998(N𹗹R,3BjBA{}i s+%2!3_$\B| ϭY~L0dp=]LerpIL \/ Seʊ`azҶl[I'vҷʶwx0lкy;7Û~ǃnƷͰ3\|`&#X׬}E N:;.t^ CX6#h9JcXu/rb$[dNOlkrp5C}t/u? (+J;D4F̂{~~hi}+PD2ҚeBZz[NI(Wq<~J:ON)I8y2PS)*Y=UkRкU ?bF9JKy˚QI::B;Ke`P jF*t0&fa nB d\ ԽOYv/3%Fv9P\Sa^ZH1$r0㋢kT¶9`PsI? 3z@ӤwT+VR`kъ*h\@մQЀ-C2di404o,qH>#5E8 t̅ E)LA@ '4i'L$DٰED4E)A6=-% CCoJ.Rk=(3;ĥZSxzRu\U62 dn V`bWT>eWH "ŨZfs@ T:ROy=4Dx/}BuڢE)n2d!+hb㣑JC( CCdʋ4V`cqY3QKDQZ!"fҥOM'N@KfGqxϣR# f<r3D4ulnG`H|R\RQP)ǚ79W(Z#d, =ҧOc4B,ฬ%mhQr#ЪRLC5Ō.GDB&ش@3XS/?(;ΟaRE1#|#r;YhTc"5F5];GJYlT|L*$Vۙ::oKJ/$Ͼ`//7yë8}9c͵*/,{_㑸t(OT;g /;OX]<G;K&Y fU닗hSb@Q|&WOwK&Y &W\ER>u!qɧ>] }^u7 sٺ;;)ycWET0 U,ȹZan9~u>w^wr~u8sNo̗*f[ Ǎg7Vo7V |X ~i R endstream endobj 685 0 obj << /Annots [686 0 R 690 0 R] /Contents [691 0 R 688 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 1421 0 R /Resources 687 0 R /Rotate 0 /Type /Page >> endobj 686 0 obj << /A << /S /URI /URI (http://www.xilinx.com) >> /Border [0 0 0] /Rect [275.58 26.4 336.42 37.56] /Subtype /Link /Type /Annot >> endobj 687 0 obj << /ColorSpace << /Cs8 1435 0 R >> /ExtGState << /GS1 1436 0 R >> /Font << /F1 1437 0 R /F13 816 0 R /F3 769 0 R /F8 800 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC74 792 0 R >> /XObject << /Iabc2658 689 0 R >> >> endobj 688 0 obj << /Length 18 >> stream q /Iabc2658 Do Q endstream endobj 689 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 108 14] /Resources << /ExtGState << /Gabc2659 780 0 R /Gabc2660 782 0 R >> /Font << /Fabc2661 791 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'N|{@ VBqǮ@{IRS;7o77Z fڿc}Lh2< + 5p: 橷൫>)W^.Pr_Ɛ $査\=0'-cX"#(j!x˜r9NY~I)ɜfUQ? 5\fP=(%N> /Border [0 0 0] /Rect [108 14 194 36] /Subtype /Link /Type /Annot >> endobj 691 0 obj << /Filter /FlateDecode /Length 1974 >> stream xW]s[7}3|:{Ko7$LxU5Վm9{ʒֲ,+Ix?~S::'+tJ*}PئqJ[sVqkeck:C[:M a)k6ɰ:zaQ_ [T ׺ic86\BǜCV exG#{?F2kMo?_VgC1(lMml '|@.mGՇް6Y,-Hx1.cuέC8]ϫdzNWia6$n#Kv,jS_cgܯ2HSH۬zbFgOr_ ~B@E,1ͩLK4 tf%M >4EO %p߰Ub?&^Q6 Q)3:ܛe߻ˆŬkr, rف^ϲZ8uOMq&@C^jH[u bb7֐y |[ έݔ;xt:~3]WتAv! -S9.cun|4Lx1 ΎFs&8p%&f$\MesYYpuRsuۊ@M.g&{ni}n߁jn Cw"xK-ш,tXG}{;vчnPoN[,܊1=LnFZv4.\β05  O{]LZU# wlpxi(% TN\/aY75m"g<.֔% zI%FX&c\視qʳnG۸TV1Tj @̇e5e`?N#U_ax# gqҺE`K65KΒu$QH2t\4E?"Ʋxwbt%t&Okq$2AH5"_H"1c y8 do'-Ҋ%CL$kdd6]Gm{bÅŰanf3 0̽wm y S5HbHk:a!( {DFkfr<[I@6[R脣Vj[z 6.q@$ /E R9R[ڛCf\YXb1,֩ĠS%8l-c$~3H9*bVHx3@WdCN+ ^DBN+%IKD [bAfÒv&)$o Mx9%Z!9XĎN#ꂈ[)uݎZ' E62%ΦGjvfaRv6M&DIQvR.F͑݁ԡ@jI2DBO 6iY.?falGԔ3Jll`H` S/!_U﫼E$sةB"nDQKOJV%IwfAqWX*De!vzF<^%?M[fG|޻n<[zs<8>?c_|w+2&^d^o='8x-anq~|$Bb؋ _~}9߇*?a1\.vW&sP6{1y '?Ξa7igUuVnJ:~, 1Gc?|_rNu&'[{PZdkJ_gvW&`w:NwX9z?tzæ#9"ٜg7op endstream endobj 692 0 obj << /Annots [693 0 R 694 0 R 702 0 R] /Contents [703 0 R 700 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 1422 0 R /Resources 695 0 R /Rotate 0 /Type /Page >> endobj 693 0 obj << /A << /S /URI /URI (http://www.xilinx.com) >> /Border [0 0 0] /Rect [275.58 25.92 336.42 36.84] /Subtype /Link /Type /Annot >> endobj 694 0 obj << /Border [0 0 0] /Dest (G6.281783) /Rect [162 565.62 208.5 577.62] /Subtype /Link /Type /Annot >> endobj 695 0 obj << /ColorSpace << /Cs8 1435 0 R >> /ExtGState << /GS1 1436 0 R /GS2 803 0 R >> /Font << /F1 1437 0 R /F10 804 0 R /F15 699 0 R /F3 769 0 R /F4 772 0 R /F6 796 0 R /F7 807 0 R /F8 800 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC75 814 0 R /MC76 698 0 R >> /XObject << /Iabc2677 701 0 R >> >> endobj 696 0 obj << /Filter /FlateDecode /Length 941 /Subtype /Type1C >> stream hlRoLSW}[EǃD΁ǟ)I[:lY(Z4$C2bpQ1.E$yWnkOpOs=s,e$Yhlo3]f4lo4*#6kMn>m=v)i^rWNJ[CpQ^TWqSkX>JmMky?d|W<5#|{GK( `75#Ɍ.Q;DG>  tNR5? 2"@\lNWv٪mms)9ԅ2n\rKb(S5NevlbeҰS=S /0nR?gш`>k q Ť@W|a(>Ï cwVߏNnv+Ł]=.l' ũu*v Xkkˬl1ź{%itFC 嫨JY\FQ[!I%G'8HaXQ#mX/߯[p3(ůBR`8 ¨š}0S&JɥM<>L)Ǡhs胂bCIdYJ,(bo ͢Zɜ"qA~1Cֳ * =Q[N.Й]vdEX?RF-UlfT$ǭ%&pM1w҄CʳYI"fr^SFQ0˚rxcd-!}֬^L4 =iN F9L;<u߀.MIO}vqQzP*⒤-(>S' Lwxo˸Q;a-]ӻ`$YT3\Gv:}` ΟNY/X]esyr_,x endstream endobj 697 0 obj << /Ascent 718 /CapHeight 718 /CharSet (/K/J/H/G/F/E/D/C/B/A) /Descent -207 /Flags 262240 /FontBBox [-174 -228 1114 962] /FontFile3 696 0 R /FontName /DINDBL+Helvetica-BoldOblique /ItalicAngle -12 /StemH 140 /StemV 140 /Type /FontDescriptor /XHeight 532 >> endobj 698 0 obj << /Metadata 704 0 R >> endobj 699 0 obj << /BaseFont /DINDBL+Helvetica-BoldOblique /Encoding /WinAnsiEncoding /FirstChar 65 /FontDescriptor 697 0 R /LastChar 75 /Subtype /Type1 /Type /Font /Widths [722 722 722 722 667 611 778 722 0 556 722] >> endobj 700 0 obj << /Length 18 >> stream q /Iabc2677 Do Q endstream endobj 701 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc2678 780 0 R /Gabc2679 782 0 R >> /Font << /Fabc2680 791 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{̴RS;߼q77 fڿc}Lhj[ߕ9pZ [nw/gx(&-@Bsw4_Pыb `6P\0&U$c"KSo"ɕL jE3DTZĀ0dD@M(Ͼ=jֈvr=C)0J*q(~S1bG _OI٧j gV#ج$VM^RuTkI>U}ɖDV*YZ ip3> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 703 0 obj << /Filter /FlateDecode /Length 6344 >> stream x]Y7~/`C>V (7U۲ux x An=|`FI&Y$ٌ//y5QdДuʎjns8nGfZvOE K r 3{鉂O^{-:‡&{dxʽ7ftskzyS缒P" KPDp9CTlb\V3d)?Nyh)X7A .qV %1zf4SL &tx'M ~ b:C!067H Nͣ܁gK&MU`P79%ԩ hϝ qdkŲ#kKŵ ZMOatiݣ!(Oi @IXh0&BP=D r>aMR܂je+ᬵtʀTp?dlf祑b(/aOtSc  G[LZSl` 2ƌ*GO(HP]'hwt"/#XPSL`-tW J|J{rnuទ 10@ם;? 34I-K`/G4 hzHH.QS@KZzFjI)[FԎ݇C{#9xK>'1  A%`ݯ1 5hR 6ؕl)`i=JEN4aPQ+ CM ǃ5 M'c*ajS\:/QQ1~A1N1΢1ͥNld9ܺkle U~H jQlPxWRyӹO?]|nϥ!|{BD^ϵ{g#TF"ހ7e|FQ8FhÖЎzףqq~N{)؈tO_t77Wp&^\x(ՋqO8G|_vym/̲H\^;f#>*!,_wX۫nǏlwWO'ػ+ ]ۏ?8ﻛ m.oo}5hy (u~wˬQwL!t6osً 1jF[{^h"GTwc0ٿs4(w;Ʒw?sWJ}%Z $$eL;O\"p:s pU%ZsoI@ mJOGY㽈&æcy?װ}r$L۫Kxb[LjzQjt܄ * Bʖ z;0%l|zc&PB<޲xw K`Z ɚ.)5ܦ8T d|3( S PbmC c{j;K P7䃄lu׎ vn@kQ#=Dr@U mP59-X 'I*j!b T/*R%mrvb%X$N"JSO8>SRG{$GbJQ3 y$0H5]>EG(t8Gb^$6ȼXY *db(-XI [bJQsgK-T-[%EbC̋gK![b( 2`L9H"1(lI*frlL}s!BϖSheY!ư$lIb(7H$SHZ,*VzJA,$QбJ֘#EbCr̋Ͷ䈡 *bGBP4|H)Yħ {A6}tI3M|gEP1TՂ.'iZwP1TϘ]OyLώb&$M|6)\!ƶ b3=cg0/CC>3av M 5 uDڭgTC*x|ڦ#.[ݭaCcu;2;L優,@an2BR6P,g^hʇp)|XڂcJ-] .si*&> .+FOvY!5bNoST3/I@bH WOa /5c{}{|:Goӄᛡ8e*if%"iXҌIA;X`x/d׸ˮ-?.ѩt.[`/Ӝ0=D2S3 TNBv˔[ Lu2|-n򞼻L|]Y`ؽ 9',Sm9,7SC6n^nuE8q%ȰMݧQWwB`4[{/[^+X[_{N|NjJ(U8(_S1n$.q8Lh[SB6D&-V%L_hBB7b rLO%[^g_/B{ODTR~2/x?Td}Jق朙_H"!b\7  iTfFZy8k{o Jh ͒7To헮Qu־V~0`OϔQ?XI,bA XƂ8x d \Eֻwo=̹<( "( &wsaB L"( *ʮdT%)'oo ᝌ6. A/yp9H%,sd tz$/ףr@Nw/bZ eۅE%`r4M ˔\~d;@-re92DEcMJ򒮼k'z&!sYzw|ʴC᧿j#;=sc=7Mgi_>;wӇl_GTa{d>"(糴>9i7dϦ8_Ϧ8>/gS.@;ΑPd3-X}+>b}źG!ևX{P)և5>|;c`cƃe jVS*-&sWYR᷍IKaȖub;є+˔\cҹ V i vrE[(YKwWv]ҢrX@;ؒh5~5첿(|y5EnO]nL_iN!{(i˞:lJ~k8fXmߟ=.dxB&˱d5X8M_> stream application/postscript Basic RGB Adobe Illustrator CS5 2012-01-05T16:15:11-08:00 2012-01-05T16:15:11-08:00 2012-01-05T16:15:11-08:00 256 152 JPEG /9j/4AAQSkZJRgABAgEASABIAAD/7QAsUGhvdG9zaG9wIDMuMAA4QklNA+0AAAAAABAASAAAAAEA AQBIAAAAAQAB/+4ADkFkb2JlAGTAAAAAAf/bAIQABgQEBAUEBgUFBgkGBQYJCwgGBggLDAoKCwoK DBAMDAwMDAwQDA4PEA8ODBMTFBQTExwbGxscHx8fHx8fHx8fHwEHBwcNDA0YEBAYGhURFRofHx8f Hx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8f/8AAEQgAmAEAAwER AAIRAQMRAf/EAaIAAAAHAQEBAQEAAAAAAAAAAAQFAwIGAQAHCAkKCwEAAgIDAQEBAQEAAAAAAAAA AQACAwQFBgcICQoLEAACAQMDAgQCBgcDBAIGAnMBAgMRBAAFIRIxQVEGE2EicYEUMpGhBxWxQiPB UtHhMxZi8CRygvElQzRTkqKyY3PCNUQnk6OzNhdUZHTD0uIIJoMJChgZhJRFRqS0VtNVKBry4/PE 1OT0ZXWFlaW1xdXl9WZ2hpamtsbW5vY3R1dnd4eXp7fH1+f3OEhYaHiImKi4yNjo+Ck5SVlpeYmZ qbnJ2en5KjpKWmp6ipqqusra6voRAAICAQIDBQUEBQYECAMDbQEAAhEDBCESMUEFURNhIgZxgZEy obHwFMHR4SNCFVJicvEzJDRDghaSUyWiY7LCB3PSNeJEgxdUkwgJChgZJjZFGidkdFU38qOzwygp 0+PzhJSktMTU5PRldYWVpbXF1eX1RlZmdoaWprbG1ub2R1dnd4eXp7fH1+f3OEhYaHiImKi4yNjo +DlJWWl5iZmpucnZ6fkqOkpaanqKmqq6ytrq+v/aAAwDAQACEQMRAD8A7hHd2VsdBtln0RYbxDHM ZljWYFIOaiNDMpkJK/FToO3cKsd1fzXrGnWtyNI0bTNXnjurhEiYLb8kEslG9RnZewA+eH097m+B i4AeOPF3WAxDWfNfnjW9Ht5dQ8tR6HeWV1Bd2djpV4DJeTRM4+q3U0KOiWzrRnLNQ7DbBce8MBix 1ZlEHu4on+xOm/MrzfJdyLZeS7GawEYeC8maO2MjFkHD0JWEyUDMfjUfZ98Nx70+DivaQr+tEfpZ fpGtaY1lynl0iKUyz8o3iQMP3z0G8oPTNfm7RxY5mJlHb+kHDzxnGZEImcehHX5JL521i7urR9J0 mOwMF7CwuNVsbi0s7iD41BSNpnlYNIhb41FV/ZKtxIgO1cH8+H+mDADL1hL5FN9B8yR3ulQXOrW+ jaVqEgJnsOUNz6ZDEAerHKFao32wHtXD/Ph/pgiXi3tCXyKU6v51j059R/R8GjahcLKhigbhCG5R Rg/GZGCgbnNlpiMsBOO4Pdu5eIYeC8k4wyfzZERP2sO1r8wdf1vRb6w1PR7PQLaSJGF9pF7G+oGR TFIIoPRDlA78omckUWp2rUXeEe5AlpuuWA/z4n9KNH5t66iwQ2HlXTbmFE9OeS4uIoXSRIqlf3zl pf3g4eoCQ32gSMfCPcpnpumSH+ngP0sy0LzPYXzyXFydHtZTDAHg4xuFb4yw5eqAaE0qMwdXqoYJ CMiASOpprz1Y8H97GtzHej3bWp+btfddLe20iDTb2a7jnhea2ltbSa3rC/CSN55WXl6nFQeJ4/aI NKZijtXB1nD/AEwaojKecJfIqHkLUmtvL0dhrH1CCexZreGe+uLe/ubmJPs3Es0ciDk/hSoxPauD pOH+mCyGUcoS+RVtV8zraamwsLfTL9Xhj5mODkFIeSn2JG3Nc2Ghz4s4J447eYdZr9dnwVWIm/I/ qYp5n/MDzey3GnW3la3OnXUBim1iypHdW/qgo8kMRLuXiX41oCa0oDmVKEAfqFe8NOHtTLKNmBjP u4ZffVBbpH5i+cYjFYr5JtY9OgMUMF7cymeV4dwZX9WR52Kqqk+o3Nid9xiIQv6h8wyn2nkEb4SZ Vy4Z8+66+3ky/R/MbX2pgXlrptkscMnDnBxDEvH/ADSDpTMTXajDpwCZx37yAz0Ovz5r4sZjVd/6 k01XWI7bTri4sYNKv7yJC1vZBYoTK46J6jy8Vr4nNcO18H8+H+mDsRPJ/NPyLHfIE2oabZDR9Tgt mt7ZGkj1jU7qDULq4klldmRyjpTgpHGgC0oANsJ7X0/+qQ/0wSZz6RPyKa+Y9dis47KWzi0y7l+s 8TEkAJ4mGXchZCaZlaLW4c8+ETjyvaQcLWavNihxDGSb82N63+YvmqzijfTPKen6ozE+rGFEBUAb Eeo9GrmynjxjlIH4hwtP2vlmTx4zD/NkfuDHNI86edNKvNUls/LTaza6ncSX8U2pShfRMgSlrbwS +m8EKb8VI7Vqa5Ewh0kPmG6PaeSvVGpd3DI/aBTNbXzjLPfWC6jZaZp9uZGMk0kPFFPoyUUs0ir1 w5MQr0Hjl3Df7mjD2xlMv3mPgh3mx96ey+ZvLqRO0d7ocjqpKRgQjkQNhUzUFcx/Dz/6nL5H9Tmf yti/nQ/0wYP5P8y6hZ6xcLf29k1lq9zLe3N1qGpWN0LAvCjLbW0EUi0hWTkigEnbkSa5I4s38yX+ lLI9qYa+uHzZhqnmPQzaj6rdaLPP6sPCGNIyzfvkqAFmJ6dchw5RvKEgO+iyw9pY5yERKO/mFK48 zTpBI8Nhpk0qqxji9HjzYCoXkZKCp2rjxjvc/jj3sJ0HzB51j813uqXvl+JLfVfRjltp72Geyslg jiHK1tl4FTI0khc1JJSuwYDHjHevHHvZnf8AmMGxuBJb6ZGnpPzk9CnEcTVqmTamPFfLcsZZYgE2 Ez/xJ5a/6uGhf8DF/wBVsfDz/wCpy+R/U63+VsX86H+mDBT5t1V/OFpqf1OwSxEbafNaHUdOFkkU lwzNfG3VzJJMI4Y+Px7K5UCvImfhZv5kv9KWz+VMH8+HzZXr/mLy6+haiqX+iF2tZgoRYuVTG1OP 77rkPDzdYS+RYx7VxEgcUf8ATBQ1exW780+QrkajLFNYrcyrDKswkkjNoEkEFuls0UnHkpMjsOI2 H2sk7RFS+ZNGsEuHvdWuLZFup0Z5YOC8muHAHIwUqTnP5e1dOMsocXrBNiieXuDRPs/MfUI7HzH6 2D+dNQ8u63rFvcW+s2FwthC8Vy169ykkTSzoFUR28aoAzRMKvvyFB3yUe1cAHM78vTLuvu7t0w0O YX6ftj+tOvJ/mzylpvlixsW8wW6GxVLSZbLlJbRzFioiR5omkqWUgBzyrgn2nhvcncX9MuXfyYy0 GYknh+0frQnmTQ7/AF7Sp47IPdLJdmVPrUUqRkR3JYn9zGr8hx27V67ZzOTSZsuqlqMcOLHIbSBj Z9NcpSH3O4xanHixDFOXDOPMb9/kHnN/5D1jTGeK7SytJr9rfjH9auS7pDMZJDPLdhJGRS4+OFeb OanY0zYjS55V6Jeni5+GOYr0iMqvyl6QNmI1eLpLu/nfbsjdB8ha3LYTNYQ2t1ZX92t3DPYvNcWM noXBlV53kDTcwd0EHwcqh/hplebR6iRB4JemPDuYCW8a9NS4a7+LeuW9pGswxscQ590vt2v5My17 yd5A1m2u184tcmO2mEsnqLJEiAQoA7MkagfCe5zL7K7SGjwQ02UiOQX6d5cya5X97rdXopZs51GI WCAOK65e/wDUxHVPIf5VacI/8HXtnbalC0t1LLqEtzIYQIarNGvpyiqFkNWFAp9822Pt7EN76/zZ c/k40+y8uSxMEiv5wG3zVtC8nflKLSebVdbjj1eyf1J7rT5pmWKFHLRNKtxG6oSs/wAdVA+Lanw5 I9uwBFE+rl6Zb+7bfqxl2TMgjh9Pvj5efuZVd+V7KXSL3SvLbT3NnLYxxQrdLM0bE+oFDyen6xXj TcGvvnNdpSya7UY82IeJHH6SQQCCDdVIjffqHadnwho8UoZPRKZ4q53Yq9r7mJ3XkvU9E9e9uUt7 L1rae2iaNnNyxc+o4Et8EjWHgjPIgHJVHwn4RkDodTMCPhn6gdzER28oyvi7jy7xu5Y1uEWRLp0B v7Qllh+XeqXkTy6ZDZXiyST2t3Jp81xcRjlaRQ8LhpakLzQrJ6f7zjxI3LZknT6g84S2oi+DpInb hlz32v03d7UwOrwj+If7Lu8w9d8oWD6PD9Rke4thDaWsaxRQMyDh6ikKWiZuI7ct8x9DqY6EzOqr GckrHW/9KT3uLryM/D4Xq4Rv0++kq/MbXPLWreUr7TF1+zeaeRIFi1GZ7W3Mqy/3by20azK1Y2oF Naj55vMXauAnbiO17Qny7+XJwIabJE2R9o/WlX5cWmk+UbjzBLqGp6Xp8dzdRRl9Na5/vEjJ4XC3 iyLFJx3VEp8OCXbWmnVEmxY9MuXeNuTLJpsh6faP1s+N5DdXdpNb3t1NDJbyvHKkFVZWaIqykQ0I I75zfbh/lGAhpgMsscvUPpq/eY9zfpR4FnJ6b/Hm8w80/l1qc2rX2ryw6atg00lzJdXp1KMhDT45 olVIC1B8RqB26ZZpNJqoYowOPICABscX2Ey4q/tbzqcZPP70PZ+X7nVdS1H6jKlzLqrW93dQOyO0 a27qqLeC2DOOHA+gYCQTtLWm4/k3UxjEeH/diQFSH8XWPFLr/Fx/5iTqcffz9/6mb6fNZ+UPLWjR 63enTIbSQxSNDFKbZGZJSoR54mk3r+0cv7E7M1I12TLLHwxlA7mUb5x58MiOnc4eu1ePwgAb37j5 ofXfzI8garoupaZa+aIbi5uIZrYQS8ljMjIRwlaGH1VH83H4s7KOlyXy+0frdSNRGNEg1/VkwX8t rryp5Z1i41y81nRbSxeySzN5pr6hLJ6kTAvG7XaPEVWv2vtk7t1yyenmRy+0frbcmshL0+ri7uE/ qZ35j81+XtftLTT9H1l7+8ubkrBAsYHNoo3aRVJiQFlG5Fcy+zR4GYZMnpiL359Pi6jtP/CMEseM cU9jW46jvr73m3mb8qfN+oajNex6ZBJERGfUujcpJSNGBJ9Ligpy29q1zbajXaecyROJ5cxP9AcD QabUYsYiYSHP6Tjrcjvlf42SGXyZq2o6zBPbR2V7eyrJJDby3Q/SEoMUTDilu4t2JQA7fDx4nuTl eTW4TLj4vmJX07hw9HJxaTPDH4fBIcuXDwcz/OPH19/PyZ15N8o635Wf67qsUtna/XVup7lIy7/v XWrSqEdedTxPpjj4ZLJqcWXDLFjlxTmdhR33vewB9tOJ4OWGohmyQ4ceONE2NtiNqkTXvFs81H80 fIFlzhvfNBtZSlfTnj9I0atD8UANDQ75op9m54GpRA98o/rd5h1+LILhch5Rkf0PLvLj+WdG1bS7 i91XRrX6pOrOYZNUkmU0ncel9Yj4s3GYEBxShbsFy2egzciP9lH9bd/KmGQNGR/zZe7uenat528s anpGq2NhrU11dtBNbegsBqJnjIWN/wBwOJNR1IyWDs7PCYnKNRgQZbjatz1cHP2jgnDgB9WQVHYj ivYV8XnHmL8svNmpanbXMWnJLHCiqz3SzcxxmWT4Vi4oRRa/F39s3mo7R005AiY+Il3+UXS6HQ6n FjlE45Ak/wAMoV9JHMyv5dPNiOoeSdVvNUtkYac0vJreJL27KzM/ptbrHBHbMFZS8boOXx0U1+IZ j5NZhmb4ht3iXdW1Dl796dlh02fHAjglvv6eCvq4t+KV37vTfLZlFh5D832NvrF3fWEsSNb+msgU GQxwRkAbBl9Nd+B+3T7W+X4+08FSue5Fcj+rl3de9wMvZ2cyxgQ2jKz6hQs2f4rvv6fzXr+q21iu v+RJ5RfwXZaeG2jR+YnD2TM6GX6ynpKBHy6HkQNu40D6ElGv+TNQ1m3vIYEkg530soeZkuQAl0zU MckvGu1K9jvnB5+xNR+bnlBhwyMupid/MRc7+VcMYCB4rHkD+l5lq/5eHy/qP1O/1CytpNRtgYkj sFREW3kUVNtFJIlwlZAiCVx6exXkeRzP/k/PIDl6T/qkjd/0uC4954R6utCmqPaGM8gf9KPu4t/0 Jp5V/KnVJtJs7vTrq3udPu3F5BdwWsdiiKCxjC2QfhIav8LyEMlNqljlefs3PMknhuqozlK+X8Rh Y9wFSvfkFHaWKO2/yA+y3q+iw+hYCGS1vC6Szglbjb++f/i8Zgw7ewaGI0+Wzkxijwjbv25d/c4u fTSzzOSFcMu/m878/ea/Ih1tWvdXbTZtOWW1uIbmyF7KX9RG+CWSRiFBHGibMx8Rm603a4yxBhjy SEtx9P8AxTSNJOOxI+39TJ/y9vNGh00+XbWW51C+0dU+uyQRfo+IfWayx8YUlWFaqeiH575h6n2m wY4ic4zEZ3X0nlsf4knQZJE1X4+C7zB5X1DW4tUgsxPbevIiGSZ0uBxEcXNWikmKMGWo3zXflp67 LHV4uHwyNhK4ysWOYia383Pw6yGnx+FO+Ly3G/xDzbzJ+Xj+Wpor7U760tobz6xAgSzWE1ZGlZ2+ ryTNcBQpd4n4o7EkkfCo2UOzc8o0a2o/XKXLpvAcPlIWYgAd5UdoYidgf9KB/vt/cqeV/wArL2/s rq70u8tb+0up2glaCyj01YzE6iUtGrsbr4ozVJfgJPKvwrhzdn55VyHD35JTvn3wHBz5x32rqUfy jijzvf8Aogfp3etaBpNxp6TR3UVzI0NvCZZUn4A8eYZuKzbV41oMPZuI6OBhkq5TMhw9xrvA7nH1 kvzUhKHICt/wWEeY/N/5febb7TdE0zWlOoSNOYFktjqSvW3ZTxaST0o2T1Ax33pxO1c3QymIMjE0 4sNNId34+CL8neYPKGg6HHNPqU11ba1cT3dhPBaPYBkMqwlTFCwqyyMBzcAt16ZGWeyRR29yz0sj 3fj4M6ksnGpzD6ref3MX/Hz/AJcv/F+cv232bl7Q4DhMBwXfF51y9MnIwZBpwRPr3fgPKfOv5cRa daPr2talbW1haHncXlzpFncTBnc8aSCYlV9RwdkLVANetbtP2ZqoDhAj8M2QD5cH6a8mY1kJHa/k P1ofy5o1t5tW/ttEvPUmhuzLqolSW4FqXiIiiEd96S3UjciWuH4yAfDuMB7E1ETdwNRobmN77m4x 9I7oC49dimWsgOYO/wCO96lp+nvp50+0lgupGgtGiLxyrErGP0lLLGs/FAafZGwzB0x/kmU8moqs svTweo7WdyRHv5sMh/MgCH8Pf+Ck/wCYXmDy3Y6Lc6bq0tzpzX9vII7i4Rr23QKN2lj9VoiPBXI5 ZuNH7RYdR/dxnKv6oP2y+5p/ITidyPx8Eg8iav5Vj1Vrm11Ga/GomGwt4oLEWL+s8bXqcpUdWKtA /L4vhH+scu1HbuPGDxwmOEEn6eQlw/zv52yTo5y5Efb+pPfzM8q3nmnQYdHsY5LeeW5VxLeFLqIB IpCawvMysfnlnYntHptTlMIcV8N7gd483D1mhyQiJbc+8jv6gPN7n8kfMWl6UbzU9Vge3sPUu7ua WyteCqKO7IkZDK3w1rViTnUjWY+W/wAh+t1ZwZCTUYi9vrn+pjfl/wAjxeaJZ9B0LWrW6uxC18ZW sSqejcOOJFrKsdsdv92hizV3FAMMtVADe/kPuttOmyxIkQO6uOXT+lXF8OQZzpn5ea55R1/Tdb1J zLp1pLKXFtwSRmnRxxWIOiIQOsofkw2I6YYH80fCx/Ue/YfpcWeQaWBy5OQobWT3cyBfu6dGQa1+ c3kCzafT7wanDcFAjK3OVR6qsV5ATlWBAO1fbI5Ox8kDUpRv4/8AEstP2pHLEShGRj/m9P8AOYLo /m3yPofmmyNzqUj3GnRss1lb6TbWzmscdtVZ4p2eL94taKdweP2Rkp9mTBMTKPF75f8AEt47TE8f GIT4T129/wDO7mfT+d/L3m7T7jS9Fh1BrlrlLUvPIyRrLHKjMp5TGvTqARl2m0E9PLx5EGGM71d9 21gOt1etjnj4AHDPLG43VVz3q+7uYn5n/InzJq949361tDEIeHp3EEF2QwV+LmSVuVFZw3Hpt7nM jUdrafJK/Vy6wifvkw0HZ+owQ4fSTd2Mk4921CPlV+fkwix8m2WvanZGy1qya7vZQIovqb3TFyjp 6aXM4TitYGP2RSlOnWMu0MRPEeIf5sT9pl+hyx2fnhExoEV/qko9bsxjHn8fPm9H0z8tPMOg2t7q N8gMScruWGGcuyrHV2/esyPMxUD4nocuj2thyRljAlxZNh3Wdtzdn5Osy9l5Y5IZCYcOKie8iO+0 eGo9dgaZHqX5v+SNNuY7a9i1SKaVQ0a82aqlxGDVZ2A+Jh1zW5OxMsDUjC/j/wAS7LB2xjyxMoRk QP6vdff3PNG82eRbfVdOvn1R0Q3C6hFFHo1uHkiJmuhSX12eNjHMP3go2xP2mbBLs2Yq5R3HfLuv +a5se0uISqE/Tsd4874f53e9Fv8A8zvKmoabqdnaW+ptOLMu3JyFCzxMYySZ6Go7dcmOxM2+8fSL 6/qcIdt4gY2JDilwjYcwaPVkWqXuiQ6r5KSXSbC7lmlljhuVniC27/UXJklBgZjyUFaBhue9BmO9 arRSafyuP3Gm/wC9NxT98v8Av9/+Ks8b7ZGq/OZeE5uHjPISrn03c6HBwi+F5HrMmnpqOofufNsO 0zUtJlltz+7uPjtz6UlK/sJUUb0/hHXN3pxqzCO+E8vqEgecdpbj4nu4t2o+Gzvybd2E0mpuxhvH eWN2u5pQti9YlX/QARPSIcfj+M/HXNFr46qoV4gFHYCXFz/j5b923Km2PB5J/p/6NNuxMWmV9afr Mtf75/8AivNhh9nZZ4RyTzmEpAbEbj3+txp63gJiIWPx5MD826DpkWs8vrmtSC9S4l9LSbtWtIjI BFSvoAoV58o05U2rTN3g7HEICPiYTVbnHvtv/qnXq1/myd+E/P8AYnf5dWOnR6DHePFFC14q10+/ K2v1f0gY6R2pWX0Q9OTDm1TvmNrvZ4Zpf4wBV9LJv+ceMX5bDZfzpjtwX+Pcy7S30pWuwYNLP74b mZP99R/8VZmaXRy0+MYxOU6/iA7yT3lmMgn6iKeffmDd6Qmtuvq6/b7xH0/L0aT2n+6zzD/UZ9hS rpz/AGWHH4qPtdOMnD0/zrv/AHSDwph+Wl1pUkzO7TXjGyt4w2qSqsUSxItI5lpKPr/x/wCknarg /CtKZDUif29Px9PckcPkzF5tLN/cxi300B7eIcknQEVaUVB9HrkcWnM42ZEb9f7WrJn4DQF+55t5 k8rW2kSWt9+ldX1mQ+rGbH1o76zkj9Is6XNrBb2qsGVCkZLfbYVrvmfHEDtcR8N/nZa46gn+H8fJ EeV/Lemana3LpJe6NDbzPbQrNMtleSqnCjzSenL9YReHCF+K0Sq79cjLF/SB+H3b7LLUEfw/j5M5 nn059SlIttNUCGLb1lp9uT/irOL9pcGaBx+HLIfqvhB8udFy9NlE74hXvYZ50l08a3px9LUErwXl osivaNV2+C4HovxrSrPQUAA5CtGwOzo6vwpb9/8AeCXF/m+r5D7Ntrp+HfRLPLN5YfXdChL38iKX YWeouFu1JhC+pqJCrWD9mP8Ad/3oJ5HMjWQ1XDk79t4cXDz5Y/6Xfv8ATQoIjwbPQCdObUYaw6aA IZesy0+1H/xVmH2d2Xm1YkMmWePhquMHfnyuQY5s4x1wx4vcknnfRdKurE6j9Zmtm09DItvol2Fm mowYp6PossrNx40I6E0p1zfaPsAYbHjY53/Phfy/ebOOdaZH6CPj+xj3lPRNPm1w/FexJaLBcfWd UdUkmMa+h9Xa64H1IW9L1Wh4D42L8vipmTn7GE4EeNjF2No+kWbsR49pdOK/poVsv5sjfhPz/Y9C dNMN1Zj0tM/vWr++T/fUnX93lfZXZI0OQ5PF8W48NVXUG/ql3faxyZTnHDXD1v8AFJH5m8z6PGk2 kDR7lprqRrFbvTISTGXWP9+lwYfSRQJqiRjQFW7ih6OGpJ3ofEtH5Ojz+z9rHPLsvlrQtSvLuO71 TXJorW3hFhe3kN2ssjker9XgEak3EFf9IpQJWmTOqka9Nfj9PRlLS31+z9rKdQXT/Mmg2Mi2trpZ upifRuysdxH6ayCkkfDblxqN+lMyuze0vB1AJjxVfXbl7nW9qdlnLgMRPhuunn72H6x+T1ldSTXh 8wehRQ31e0nCr+7UiiRhDUtXcdzTN/k7cjM3wSHun/x10en7GnjiI8UJecsdn/dsQ0zyPBqF9a2U dxqmny3SSOkzW31XToGKRzhZIyxZAD8AXl15ivfIntcAfSb8pbfLh/S5R7II5yjIbc43M8x9XFz8 66DZm1n5F0/yfafpJbyz1BLeeKb6o0iqo5SKpSL4W4JVq03plmPtAageAI8HH1u668qH3uFm0M8E /wAwZcXhjlw0ZdNzxHfzpS1z879M0yc2/wDg6S9qgYTWJSZPiqKBvRHxCn6spzdi+Ga4pS90b/3z kaTtY5o8VRh5SnR/3LELHz9o2majastpr+qtazAOHvEnt2Kq7FZylqqFV9XiwrWqjwrjLsoXQkT7 of8AHm+PakpRsxhHbrOj8BV+fuZwPzM0nX7HULGDRLW2jlElpHevPGUb1E4+rDSL41Xl4jLYdkeH eXjsY9+X1VvtuXAy9rSkY4jAg5due8eLb1ChuOdKeq/lDaahMsq+YI7JkTgFtJkjWvIMGKsjgttT fam2OXt0TN8Eo+6X/HV03YcsQrjjPf8AigT5fzwwSHyJafXrSz+s62gac2vrQQCCD4i8H1i6ZuRY /uvUaUbHkrcd6Csdqj+adu6dX7/Tuf0ufLsqRv1YzffC63uo+sVHoB0G1swH5UWuk6bq1ydXs7ky QyyCGoCjih3C/F+8anxt3O9BkodtgAjg+rz/AGc+9xZ9iynKB464CP4efl9XLuHTzZtPrV1e+cPL mnyW1pc/oxo5badobwTw+rYyGR/TSRVc7AUYEbhutCuA9knsGpXoNwBIP96bn/jyuf8Af7/5Wea9 pe1Oow6nJjjHGRGRG5/azHZ8Jeok7/jueR+afPg1DVbiTUNC06+k0tLiKC4/SogUKiyTFJI/VjMb lrZeXJTxBJrRWzbYe1s8oxOw4q/yczzMRt6txvt38uoYDRxGwJ+Y/Uz3yVr3+i3GlWFuljp2kNHb 2UPo3UsxiaNXBlidvVhPIlQsm5A5dDmn1ftPqcQieHGTIb93PoeKpe8e5n/J8JE7y/HwTmwu71oX PPrNP0srk/7uf/KzQazRanWZDn8KR46PpO3IDbm5MMmPEODiGzyTzlp9oNfuhqHk+Kf621zMl3Nq E1os9E+GT6u0ynlJJxT7B4gjftnQ6PQ6uGKNTnCuH0+GCRv38PQb892BzYyeh+LLvy4EEgv9Y0+3 X09QEAXWkhmlF8sKcOQijECQiI1jAES1pWmartLsrVzjCPBOQjfp/m2e/wBXFfP6izjqMYJ3DONM muS12TKQfWFR9SuT/uqP/KzpOx5ZdNpYY5R4SL2J3+ouJmwQyy4r+TAPzQ10PcLp9/5e/TVtayAx TG6TTk5TIkbArLcwSc+M5UArSpWh+Kq77BqJSF2B8CWoaWMeRP2J15D1O3jubrRdNs00+whRLwHj czyPPc/vJwInPqemrOOMteD1qu2V5dXMC9vx+OSnSRJ3J/HwZXDezxalcD16VhhO9lc/zS/5WYmT jzVKvk2wEMQq/m86/MIWb+YIbjUfLp1CH14Zk1Ge8nsLUtCqlpPq7XKcnhjV2YeluqdfDJwYZiOx r4X9tMvFgeqI/Ke5sld59Mslghjs4rOe8pLdfV5YJGY6WvDhzW2MrUmNedd2bI6nBM87O/4Px7l8 WI6hmtxqV1+k5SsoP7iKv+hXP88v+Vmg7S7VzaDhEYx9d/Ue6vMd6fAhn68u5hX5ieYnuPS8uX+n w6np2oqhuVM0mnyqBJswUzRSsilRUo1akCh3oNF7R6jLAzIhEx/oykD8Qdj72P5CETsT9n6mP/ld 5i02zW0m0jQ7PSLjzJPL+khBczX8Ki1VljMMyu8MzmlDFCQUG7Zla/tvUYjP6ZCAFXGUSb74mVxH 9I7HkF/JxnVk/Z+p6ZLfXsmowfvOkM3Syuf5ov8AKzmNZrNT2pCvDvgP8B7758+5uxYsen3vn3sN /M2zluo7e6ufLya3a28bi4u3e4017aJ2UOVcvGKcaljzXYU3zI7J7L1WMS/vMX+aJg137fLYs56j GeoPxY55MhsjrVslhoSw3VtNaXElhFNJeRWyLZi2F96gLFnj+K29P1N+PPjU1zN1vZ+rnCQJnK4y F8NGXr4uCugP18VdeG9qYjNAdQHrRvL9LyzIep9Vv+PK5/3zJ/lZjezvZWTFmkc0JY48HMkc+KO3 JjqNSDH0ESLGvOPlzywY9Q1bUrMXl7dJ6gtLg6hbwzyw8ZURVkuFgjq0K8iFH+VtXO5xRgKEZ8v6 v6nC8XLe8fvYl5b1P/EOr6k/mC0is9MufRtL6f65DdRyXaSL9W02RLX05I5Lcj4OLhmOzF9ssODH EAA+Y/SfiyllydBfwen2NomlWumaVYJ9WsLeZ/RjFneNx5RyufikkdjVmPfMWRhAmcZcUveEDjye mQqKzzbrl9pWjvPFbnUTIWia29JrQlTG7EiWeWJNuO/xVAqR0wYtXKRrYfb9yfyUB1Ly/wAv6joN lqMWo23l+3tdS070I7Sb9IT3KRxzzvp8vrSiWWKMpBaoUWTeRuUafGjZlyzz/A8r/HdzSdOCKs/Z +p6v5kt3vdIktZZ3EcskCsVsrgH+/Q7EkjIdn9pThmjICNj9XvcTXdmY8mGUSTRH46MF1j8l/Jmq XLXt/wDWZ7n0jFz9C5T4CrKVopVd1kYfTnRZe2Z5DcoQJ/zv+KdFpuyhhjwQyTEbv+Dnsf5nkHnO j+U/Les6npsOoac3rTyqrXB1OKWRTxljDi3tWVJG4QgAKtaE+DYZdpyO5jEkf1v+Kpyv5MEARGc4 juqPffMwJ5+b0p/y+0rQNM1HUrW7uprxIpLqSWe1l/eyRK0i8woRQK/ygZbh7Yy5D4ZEaybE73vt 3117nW5+yMUayAy/dbxFitt65XW3ekut/nh5q029hto7KwmWVVYvJ6luwJkWOgSSXk32q7d9sys3 YmGEgAZH4gdfc42k7Xy5YGRERXcJS6XzB2/BYC/n+1W90/UE8tae90sgvY5pr26T07gLJdVjEtzS VfUmfdRwIPL7OVHs7HtvLf8ApRHT+rv7/i7CPaGb1AkbbbQketb0fSfI73tzZz/yuXzDq9nqtobe zjiS14s6xTs5aWI814epsFqOL9G6jbLY9i4TxEGXpHl+r7ergS7XzQlCxH1yr4XXPi5+XMdWUz+Y fMFt+ZPlvS4nK2l2saygw2IuyFsJWURsyMzJU/E4ccdl/aOal7tlkH1ms9Re1+s3Nf8AeTr6755x 2kexvzE/FH7ziPF/ec+vLZkPzP8ADVfB5R5r8zeTf0xcCztdNZraGVdWfUtOVrmlXX4PTg+KEp6w LVIJNB9rfa4dJouGPD4nDL6ayZKvb+lsfp8/kwEs3WvsZv5GaBbGe1VZf0zaGOLW5LZYyrXBjWRf 391+9mpG60LM1Ontmq1h7HPDLJdSBMfrqrrYDaO47gzH5mzVfYndhLqAgcJ9c4+tPT/eP/fz+Oaj Ue0M8E/D00ojBGuGxK6rzFtsdHGYuY9fV5nrnnC+uPMmoWlydLubiwFwlp9ZsjPdRpFxkZXAjKla IWLRtQsAo33ze4u1dScUJkn1Vf0Ab936iOW7D8pjBIA+0sm8iavrE1xqenxQJY6ZpxgS002yhtoZ YDLH6jian+jnkTyX0iduu+a7X+0GpxQgYzHFK7JHpNGvTtxe/i+DMaLGSbH2sw01tXL3hX65T1h/ yxf75j8c3nZeshqNPHJmIMzfK+hIcfLjyQlw4/pecfmjqflqPVobW5ttGuPMcrrDLHrlnaXM7LJH xgWMRRSSPV5FHhx5eBpvMEcfDceLh8jL9bWPF6/oT78u7xpZLmLg/wCnWjS8la1iVQtldUa1XneK HT92q/uQQEpQKuVZhgrfl8eY933pPjXsy+KPUH1K4MovS3ow9PqXTlLmLLURgKx1w/FlHAZ75Bu8 2/MXzTLb+YYNHuf0VOkdxBSDVUW4u1juAkcnpwRQyLyf1eKvz4kGh+y2ZeDNOUb3+HJP5aA5feUw /K/Wb69dbU28Fotzp8GqG30y2htvWkuHYPdDn8IilXhwV6S9eYG2Q1GolHketb/d7/sX8tA8wzGd LlNTlBF6KwRf8sdfty5oO08+hnw/nNzvw1x+V/T8GQxZIf3XxYT+ZGraRaLBA8FvL5mdom0Yaxbw ywmTmVUCSGKVw9PU4Bfi69q46HF2XKEjh4+Dfi4ZZBt1v1DblfTkt6i/VX2JL+XVxZm7hkvYbFIZ Lmez8sxaJbGGJJLcM14WgmX0YZeQNZFA5Dbkcu1Y7NqQycewjKdymSQfps3ch3Rs1zpf3+3D+h6T K96uowcPrlfRmrX6n/NFmgzdqaXSwvQERMj6rEz319XxbIYJ5P77fuYb+ZnmzVNKW2spJrYWV+rR 3Ftq9ussMpZlRFaSBJIo15N/uxfi6Zndldt6rUCRJsx/mCPvO0qJ+HJZ6LFHkPtKTeV/N+o/peE2 8NjbRXN7Bp815aWaQvKGtfXNuOSo4l9Vw45r6aoaci9cydT2tqYQJEvUIGVHh/nVew+mttjxGW9c KBpMZ5j7S9PM1+Lyz5m8p6rU/wB4/wDfMmYfY3a2p1uWWOZjICN7AjqB+lOTDiwjiG3RJ/zKTUJd ANyNOtNRgsC1zcLryWzwRJHGx9VBHFcNzXwC7jvnVafSzB32/qn9rT+ageRed+SfMtxr+uJZadba VNqKXC6tKun2z21r9VdUH1mZZlgSW6MlTC/B6DuteWZGTSkDryrc/jbvZSzxjuXst9cX3rWXE3vL 1jSos/8AfMmYuHRm/UBXxasmrjw+k7oTXrX9IaXPDqdo99aopl9C9isJoOSAlWZWV+njSuZkNLji bAr4lxfzcz1eT+VtZg1PzTo9iYdH9C7hYy2mnWzJ6vGBLpSFaKO1fhLM8i+sKpy+H4+WZEtNGjz+ Z/W2yzSAv9T1DzdqOs2ugXFxE92skbwMrMLMgH107DLOzOz8MtREEcz3nudb2lr8sNPOQIsDueLe a/zk/MHT9X/R9pqVpErQeqY7y19WSgWR3P7hCnHjH416+2dNquzMEJ8MQBt14vPu9zouztfly4uO dn1V6eEDoP4t+rHrn8ydW0fV4reyt9E06SCekAk0+GK5FIy/OOOCF+BLSMa8hStD8RyvLocMZcNV v1Mr5dzk6fVZsmPjJlLa/Tw8POuZr7t+Y2Z5pP5g+b9bbUtP1CdjA0htmto4rdZFhkBQrK+6lz8W 8ZpmT/JmGEZzESJQsjflXK96O4dXLtHLKeKBIMclCW3Ozvw7AjY9d2d3n5ZeVL41vdHmujx4Vm+q SfATUr8RO1e2aOfbGol9RiffGP6ndYuydPj+gSj7pzH++eSRaf5bOv2Fn9U0iRZr/wCqcWS4uJxG 888BihdkKRtwhVQpIQUb9krkv5Ry89v9LH9XJzD2Zio/WPdOQ+JqW58+b06//Ljy3p2j6pPZ6ddx StbzO0hkgY/CjFRUuTwXso2HYZGPa+oFjiG/kP1ON/JWnlKJI+k7by+fPn3nr1Rcd/fW/wCYGh2B v7wQ3UCxDSxcTC1aQ2bSq/qllYACNjRUb4jTt8IemT+DTr0mciMf703P/H7cf7/f/JzzjtL2XzZt RPIJwAlIneI/Uy/lCEfSQdnn3mO38z6RqEtreeeBZtfxyTWFs1u8zRAMUJ5JGQyKZU2Ycqj7VKjM vH7NRoXjxkjnud+XlseflvyQNffK/sZH5G0y/l0hb6Ce3l0i9WOTS7O1muIIbePjRlQ+jC7h2+L4 o1p0zD1nstlmRUocX8UjGzL76rlzX+UYx2IKdafa3CwMDDUiaep+uXH+/n/ycxZ67Q6M+BmwjJlg ADIQhvtfVPh5cvrjKonowrzJ5rtG1Wa3tPMi6SNNjlXUrMpdXBLK6VkLvHsiV4/DsSetRmxx6nRy jGQ0liXL04v+KUYco5z+9NfIctrFYv5dju01PUtFVFvpYfrFjGPXrLFxiSNYR8B6IT75Tq+19CAM uTTf3l0THGSa2PW/mv5fMTtP72VabFcB7wCA/wB8P+P24H+6Y/8AJzbaGOPU4Y5MIGPGbqPCOhN8 vNqnn8I8M7lLvYj58vPMOkXsF3J5qi0HSZ5AFtTFc3kzekglmRJQTTkkTbmI0qe/GmzxaIVuAT+P JA1YPIFFfl9HfXEM99bX0V7prPJC8cMk1uZbuMqs93Kwgtz6srqzOoTiCdmIGRzaMnuv8bKdZEcw WTx2d9LqU5ROIEMII+vXP88v+TlRMMI4ZjiPuC3LLvA8IYr5z1w217DpNv5nh0PVA5SWFpLq7kf1 oT6R4FRxVGYSc/s/DQ7cstxzxkX4dj3BRiyDnJr8tpEntp7e11iPWZr2msxzRLdaev1a+ZvSLBYl V3Zo2qzfGf2hjmzYx9UPLoeSnFkPKTJJdNv/ANJyhkBPoxf8ftyf25f8nNF2p2WdfwnEYw4Lu4g8 6ZwznB9dytjHnmDWdJt11yXzCmg6JZ8BqCuZroMrPQlW480Y8lUGjAb1U9sbS+ysoxImMc5HkeVf Ctx8vez/AJQEjsCknkiW78waxJNp+u2+qXGkyPHrF36dza3MsUxZ7ezYSQJwSEGvNHPI9VGX5/Zm XAYjgiDVDmInrLluZd1bd6nXCNWCzySxuo9Rg5RA1hmp/plx/NF/k5rfymLsuJlqoxzCZFVCO1Xf Ou9fFlqNsZMaSTzp5hstHsXtbi+GjXt3E/1S/aS8uY4iu3NwI/T2J2DsK5k6TX6DPZx6Xir+jj/X 9ynBmid5/ekPlTWtOl1+S6m1tNXublo9KhT0Lq1lSf02vAjTCEOVMLVo3wg/5RpmTn12kxwqWmqA HHyxVV8N1xd+3f8ABfBynlPf4s/+qTveWY9Af3rf8ftx/vmT/Jyjs/tbR5ZkafH4U+G74Yjaxtt8 EjTZB/eHiCT/AJjXk+jaZbXB1218sh5Shu7t7m8ST4GPpqtYqPtVdz0pQ5vdPmnI16pfYpww7gxP QvMt1drI0fnSPUrWTWY4vrXo3Vu8EbKsqacE+rlZBKr09dmUrsN2zIyTmOhHp7/t5/YgYYdw+T0+ 7064+sWX7gf3x/4/bn/fMn+TmCNTPf1Fl4MO4JN58luNK0I3X6Vt/L1JAv6RuZbm6i3RvgMf7vc0 qvxfaAG9aG3BnnKVeqXlyQcMO4MNt9e1BjdOPOUc9rC1k1zcCK6jaASXTqFiT6u4lS64mINy/dhQ 4Lcq5knJLbY9evl7+nNHgw7h8no2t6S8unmOW1R42lgDI15cMD++TqCmU6LV5BlBEjf7GnVafGcZ BiK9yAk8qaaI3P6LtloD8RuJiBt1pwzof5R1H+qT+ZdB/J2m/wBTh/pYvKvKEejTX2lWcHmex1CG SURpYwafLbRzgmf92GEVI68NzXqn+Vln53PEUJSA/rORl7PwSJlLFG+8gF6JrPlPTNO8t6g1jpNt afVrSd4DDPKvpssbMCgCAAg746bWZZTjAykYkgVe1E8nE1WlwiMsnDHjAJ4uEXYHO3knmXzj5isd Rt1k80XGmRmNXe25zzcx6qgt6nFuNa8Patc6nU6HTxkLEY+XDfX3PM6DXajJjJHHkN8+ICvSel79 /wBiT6h5683W1zbQXXnh7OZ0LrCi3EplT0OYkJKfB2fj/sanMfJo8MSATAH+rd7c+Xx+xz8OqzTj KUYZJC+fGBXqqvq37r+NJza+afM15FqkU2tzXaraqPqwnuFCqYzWUs0acvVrUruF6ZkR0OAiXpjt Hu8ufLr9jgy12aMse8wDPntvv9P1H6e/meb0UwaM/n7QuUtnHKhhdneWNIWP6PuAKQHn6lN1K80P L4hsDXmH0tE+aVshoWoqrCX1LiZANIiRbwA3LfFEzTIF2716Z5RqtNqj2jMxjPh45VxcXB9kT8HM E4CO5DBn+oC2Rjfa3F6kN5Bzu4ljml+y37iP6zB/pTcuNv8AA2yseH7WZR0+sEqOOP1R5cVdeZ4D 6drnuOY36MeOHemFimnnWtLQalqN4ITbRepBCDZrxEg4X5NxJWVf+Pk7b8dhmPPBrDimRir6v53F 0+j0Db+Z8WXHCxu9A0630027E3Omg+tPsYVr/fP/AMW5PT9hQy44zyZp45kC4k7j50XHyauUZERh xDvYX5j0XTLLWXYatq1yb+OeQR6dGktpAXAi2q44MoflGlSNuVKjNzj7MwCAj4uP01uas1vv6uvX q1jU5Dvw/j5Jt+X+maYuii/+sx2310Kf0bf2iW31b0qpxjtfXk9EPTkRzNTvlGr7Fw5SP8Iqutiz /WN7+Xkv5vJE/R+PkyvTI9LVrsG50v8Avh1hT/fUf/FuZWn0hw4xCEpZIj+Lv3Pc2RyiQuXpPcw3 zN+iT5qMX17VXr6Z+qaZaomnH+7+L6xySnT4l9YdG2+L4tjihk4OXzu/l+xTOPejvJ8mky65ev8A pIXZNvDHyuYVFlEIlX93T6zIPrfx0nO1WB2FMhlhk4Rsefx+7l3JEo94ZKP0cNTn4zaYw9GHcQrS vOX/AItw4sBlH1GUTbTlzmJ9I4mI+dLGygvU1BtT1GSK45AabosEbxI0UfqcmCn1F5+iFH7ziWNK fE2ZePDECrHxaxnmf4fx8mvy306wjhmuvWkh9CtgbfVbRbf1/RIP114/Wf1Z5v256jn/ACjHNhjL +L8d3u8lOeY/h/HyZLOti+pS/vdNAEMXSFafbk/4tzjvaXS5rx+EMk/qvhvy50C5elzCV8QEfew3 zpFYDW9O/wBI1EcuC/7hYFNo1Xb93cfvDw5U+J/hoAPiFaHA7O02t8KX7vv/ALzi4vfH07+Q3vuN bXTyY75hAeX30/8ASOkwG+v2K3V64s7+AeuvJnX1b0i4/wB4+1v8HWm+XarTazhn+734Ybx4q6fR 6Pr/AJ+6xnDbdnhg086jDyuNOUejL/ulafaj/wCLcxuzOy8mcSGeWTDVVxbXz5XTDPqOGuACXuSX zr5f0q5sv0n+mJrZ9OUyrb6IFEs3FgxT0PUdZS3HjRh0J6dc6DSdkYcVjxoz4v59H5HisfBxjq8k j9BH49yQeVvL+l3GuyML6+txbtFdi41O3VWl4j0fqv1kzsZIP3fqGHj9pi/L4qZkZuzsMoV40RsR tVc74qv6unF3UKU6jIN+D8fJn72umG6sx9a03+9av7lP99Sdf3uVdndnQ0czkhlOUmNVfmN+vd9q JZJZhwmPB5oLzndaPp+jvHI5lF6HtxLo1ibi5iLIaOvpyOU9nIoD1zeYtXOR+mvea/Q1/lK/iLC/ LWm+XNH1/wCuz3mr6jqKvFpVra6zHBOCJAjTXtshmX92lR68iGg6AUplstVMj6RXPmfly+TKWnsV b0e+tdNWWzK3Omt++OwhX/fMn/FuV4dWZSoxppy6YxjYJLV3DYfVZv39gPgbeKBTJ0P2B6h+LwzL 4497jCE+4vNPLVho8nmvRrl316W4hikEM+q2qC0QNaxBhcEupVjSm3WTl1y2WQVzDdKM6OzNvOVv bN5cuVhksHlLQcVihHMn106UkOZXZeSH5iFkVfXk6ntSGQ6edCV10u3hHnLS7x9SZf8AnYIwIAxb RoSLf4Fkag+L+8anH6VzqNZm05l9Z5fwVXXz5/sef7LxaoY/ogfV/leLi6eXLr80DdQXU91HNHca /cQyScoX062JtSnpAAtIHcEDo1HFX7ZDJnwcW8z8Krl7/wAFvwafU8G2OHL+My4ufQUPht9PVlnl mCSR7q5aZp4Gm9R7ueE/VCoJB+rVldREAv8ANl+TLhOPIBKJkQe7nX8O5dcMWoGXCTCcYgx7+Vj6 /SN3tP1ry3/y36N/wEX/AFWzjvAzfzZ/IvX/AJjF/OHzDym1bynBrdoIL3WkD6j6knG3hisy7XFw Wa4AdOUB6s2/wenvlvgZq+k/Itx1OOvqh8w9D1f/AArBoWqLa3OiRmSC4kZYYoVLSOhJb4Zd2Y98 rGHN1jL5FrjqMZI9Q+YSq6v7AfmR5et3t2kula1db1kkSaMPp9yokVfTVJD8BjHwttSvYrku7ZhD dODcAXV5T6zc/wDHv/xe/wDxRnmHantJq8WpyQiMfDGRAvn/ALptGhxyFm7LynXvNtnrHmAJqOkr etZSPZxXaavbIERvUkZXgCRuJeVsvwcSdzv8LZs49p6vwxLjiLF14Uv6PI8dVvz/AFhiNJjGwv5/ sZx5M1S1FvdaRp4ubLTtHdLayi4mSYxGMOGlhkg9aA8iQFk+IgcuhzU6r2i1uMRP7omQs93PoeOp e8e5l+QxSJ5/j4JxYO7QO31m83mn6W//ABc//FOavUdma3Wz8cYoy4wDYkK5Afz22ObFiHBxcnln m7TtPg1PV1u/K8s76ilyRJcanHai8Q8QWWJ46xtI3FSQvwr+1+znQ6TsjXCGP1iPDw7cIPD8eLcD 37np1YHU4zfVk35a2+myx3us6dDeRxagtuF1b4ZzerDHwqUW3RYvRIMeyDlSuaztHsXX5IxjwCQj xenYcNnznvfPntyZx1WKJNlnOmKpa7LXV6D6w6W//FUf/FGb7srx9Lp44pxjGQva++R83Hy4seWX FzYR+YV5pt5frpeqaZc6hZ2rcorua9t7GMNLGkbKycUm5cZyAClN1ofi23WLUZCLuPyv9LWNNCPK 0T+WOr6dcQGw0+1uNLs2t4tQ4pOt4zXF2BLOEH1fk0Ss44TKfTkBBTbBn1GSPUHf3fp+zov5WEud s0imji1K4H1y9FYYT/vNv9qX/ijMWUcmYcVA/H9rKJx4trp5956TSf0+bi/0a+vInKyJqNxfpZWj tFECx+rsF/eRxo5r6X7IPLuuTh0+UR6D4Wfmnx4Hqv8AymfQ1pLpVreWsEFhBYz3QkS7+ry27MTp a8bZea2xkak2/PxODU6fLLnR393x59e5PjwHMs1uLv8A3Jy8Lu8P7iKpNvv9uX/ijOd7V7R1Oh4R AQ9V/Ue6v6QZRxY8/W6YT+YutQTrH5c1C2l1HTdRVGued3Fp0iASfb4mOOYxoVFWjNakAA70q0Xb usywMyccTHugZX8ROr9/zX8ljidrSjyBf6DpF7Gmi6Y+lza9eXCag0d0LqOlnzSJom9KSKSRuPxQ xENGPtDLdV21rYCR4sZ4YxP0kfV3gzuIHSR2l0SdJjlV3+Pg9FkmeTUYP9KvDSGb/j3/AMqL/inN Hmz63tSHDwQnwH+Egc7/AKfkzhDFp97q2G/mXpcVyttd3Wi3OtWtsjC4vGuV06S1idlDsjtFGKca s37xdhTM7snsXXYxIbYvKhMGu/1H7is9XiPW2PeTLTR21SyhsNGuobu0ltLiSxjvI7xLRBaC2F4Z RC/N4/it/T5nlx503rmXreyNdMSBMZXGW9cPF674fr2B+u62vhQNTjG909XLyrd2ZW5vK+q3/Hv/ AMUyf8U5jdgdj5tPmlLPAQgYVfEOdx2+ooz6kSjWM8Ukn89ny9qOkyR6ndXN3PaGR7WyWc6e8k6R 7wmVFhpUSKGDGm4qM7LDjxRNxP6XE48x5gfj4sH8r/oa/wBWludT0o6Bpka22sy3cepWt3E9zOqx 2xdY4ucY9NaIyOI33HxDLpRx1V30/WmRyjlR/Hveqpq0F7+j7u21K4ubOSZvTuIYVkRqRSqeLLCQ dxTMKenx0RD6+5MMuQG5iopb5+SG90BomtdT1pVfkbO3f6hIBwcc/X4QUXfiw5/ZJ69Mjg0uQS5C P2th1GPvefR3nlee7tNPttOuZby4SGa20mO/hmS49G6N2rSP6MhEkKyLc15D1OfGrgZk/l8vl768 vf15J8aD1XXtTtLfTWmn1C7SKOWBndregAEybn9xlOj0mTxR6ft8ve0anVYxjO7G3/NH8vW5RDzY jyMKCIemGJIBAH7nuGH35uvy2Tu+0frdT4o57/IvNfK+qeTLTULC7/Qkulx2Vwvq30mqLc/VWDTr yZeD86FjRSf2v8nLpabJRZy1mPlxc/I7vQ9Z8/eTr/y/qENn5k+sSXNu0ECqqcXkuYyIU5eiB+8J +HffHT4JwyRkRURIElxc+QShKA+oggDd5x5k8geY7vUreX/Dl3qXBFH1n1lg9OkytxCcRypTnX2p nT6jtTSykDfF57jr7vi81oOzNXDGRtj35UJX6T14vh9rCj5fSWbT7b9Ay3E6j6rFFNqUEDRSrE0H 1aJCI2mo8bwq4U8uJNTTMaWu022xO3fy25cvh9rtY6DV+s8cY2f5l8Xqvi+rb+dXwpmGn+UfMUMG sXMmkX9oot/Ta5mVSWWCMgRhTFGeEW4D78hvyOZMe09NUvVuR+jl9PR1k+y9SZY/SCIzuuVb7y+s 8+7p3M9ttctLf84NP0aW2dp7yzsngiV7dro8LO7LSGT1FnRF2Aqx60HEEhudfRWawRw1nraXtfrN zX/SP+L3/wCL8867R1vZMdRMZYXkEjxek8/mnwtQfplt+PJ5N5q80fl5Fr9bLTtNW5tUl+uyalb3 X1wPGJXrCY92jEUdx8QJ32HXfbYTpjjHDCfBKuUttzEfz+8x2P6GAhm6y3/HkzryUdLa1uIPqUp1 u2aOLXZbWa44NcGNZF/fXM3qzUjdaFmanTNRqtb2UBEzx+kj07dLrYA7bjyZ+FqLNS/HyTiwU+i/ G2vOPrT0/wBI/wCLn/4uzQ6vtzLDIY6bIIYduGPDyFD+ifvcmGkiRcxcurx/z558nt9Zvbf0dCnv bRriO0bVTqLTxQW4E8wYRiXnRImJKOF5U6laHpOz8uoywjOWXNRAvhGOiZbCrArcjmL+e1csOMbA D7Wcfl/qVzcvqFjHYJZabYC3Frp1i0kMkDTR+q4mHr+h8RPJfSJ2+1vmm7Q7W1WKMDHMblxWSBRo 16fRfvv4M46XHIm4/j5sz0yCdmuytveU9Yf8fNP91R/8X50PZGsjl00Z5jxzN71/SPkPucbNhyRl UNovPfzM1ryppOoyXH1TTB5gtonk1CXVRNPcrbLDyQp9WMkzLyZa9uPLwNN9gMJCgDw/Y1CGXrL8 fJNfyzk0eaJ4bWyVdT9CO6X9HtdRxrp9yA1moa6kVlHpqv7pTRKUCgZDPPEPqHX7eqnHm6H8fJmc NpXUrj1bS8ZvRhp/pPblL/xfmFk1AH92eEe79jZDBY/ebl5v+YvmS1g8wQaPcWmjzJHc24EGr3F1 NdLHc+nC/pwRmVeb+txRufE1ofstmTgnOUb4pdeQFMvBgOQTL8stTjvJRaDTLezS50+DU/q+mGaD 1pLh2D3QLT8PSlXhwD0l68wNshqMs4ixLrW/9nP7F8CB5hl89vCmpyg2d4KwRbfWP8uX/i/NH2hr NGOH82OM78O3uvu8k+BkH916e9hH5lan5bsIY+WmRTeY0aCTSm1VbieFHab0oz6luZnRuTsFpuKk 9K5LQajs6cT4UJcG916elnbiF7BicWe/VL8fJJPy21XQ9RkttQu7Oxltr65nj8rvo4volMkYZ7yR 4riQJFKzVrIAOQ25HL9bm0OPjhkxyuIjx3vsfpF8W47hvXcvh5jXDL8fJ6TKijUYOFreD9zNX/SP 8qL/AIvzm9X2vp8cL0J8I36vTz510l5t2PTzl/e+ruYL+bnm9vL+nrFOII7K7glWWHVo768hkc0W MSfVJJFSPmQG5rv0GZnYut1eqJByylRH0+HHbr9cRZru+LLJp8ceUfvSjyR5smmubCeOz06FdQnt bWa+06O7hEwmsxdehH6kqS8/UlDqZF4BDuedQMjXavU4+Kss7jGRqXBtU+GzUKqgQaN8X9HdAwYz zH3vVul5Zlra9I9VtvrH/FMn/F+YnYWv1OrzShPIJgQuq84/0R3rmhjwjiApiXmjTNVtrrVta/w/ o0dnaRy3dtql6801zzEaBnnWNwVosdCyOxYKop4dnj0kqAMj7h/Y4v5uBLGdC8y6H5n1rUtL8taW l9O1tBcQC8e8WB4lZQZLmN7pkaEje0ReRj8FHWX5OYAs/d9m3z72cs8Yiy9J0fT7u20rSbK7036r dxzSepBp0zR2i1SZh6StNUVBqfeuVeGcUzMm4/b9zVLKMo4Y80Nr3mnyxpt6+i3skyarLA0kdhJP I7PGVY8iiSOSlEPIgbDMmGoEhxC6aPyk/J595X1jTk1bT75tN0a2sbfTDq089hDfR3Udm0KRCWB3 EYUGRGUofi4cRTLpZx5866c2w6aRHNm2tTweafJmoN5cjmunaVbWJrqaVIPXSZAVkVpOfGp3ouOD VxhlAPP9jiajRSOM2RX48nmz/k/5+FqVfRvL5iUhmtiZvToI0UnnyO9VK/Y+yF+Wbj8/Du+x1f5E 3fHP32O8/wBH9PO2OWtra6v6em6da6Zf3mpyBLWNTdR2Mjhn2ulb7TUhbgeDUINeNRlh1kKO34+a B2ZlEgeMgDrtxD+rt892SL+WnnLSrWO7vNE05RZiO4meymkW3jito6yVjMqM0goRB8J49+OQGqjk HAB6pbD47IOmOOXGZkwjZN1xc7/m9f4t92d6j+bfkfTrqK1vF1OO4mAaKMNI5IZxGPsTN+0wGRyd jZoGpGNn8dzHB2tiyxMoWYj3DpfU9zzq58+6DYazYyXOj6PbRG4S6aQQ3TXHos9xMJYnDBVm9L4q n9rn4jJT7LyR2MhuPx08nKxdp48gJiJmtunPYd/eWf6h+ZXlLUNN1OztI9RedbMuwZ3ChZ4mMZJM 1DXw+/GPYuff6dhf42cUds4QY3fqlwjbqDRQ8Y1ub83NKSMJH5cjsLeaYK0wsmkS3mVo5ZTSDkzS qeIjO6ipBAyl6tmEA0wmc+lpm9xcf7uT/f7/APFeed9o+zEs2onk8fh4pE1XL/ZMvz/Dtw8nnnmV PNun6mYLbWkuLe8SWWIWulWl0tvs8aoZqRrs0sbhWUkiM1NCczMXs3puEcRuQr+KQvl04vIjY9eW zEa4noybyTGX0oXt5BptnBeLHJaaKyxW7WKhaNEeMKFizfFuop0zB1Pstx1Wc8XWW54vnPbuZfn6 P0pzYTaWsDKbbTWImn3Myf7+f/irKZdp4dF/g8sRyygAOOh6tr8/vR+Xnl9Ylw30YL5r85Xy60lt pml3FtawiaKVrfT7a7hmdGj4yCaQpxUhmC7b7k9Bm0wdpaacOLw8Y5bEgHe+nD8/ggaWQ5yJ+f60 4/L7WNPbTTpMtrZ3Z05UH6ZMNtZWd2Jav/oyQI0ZEf2Xp3zH1nbmHHUpYLlK9tjIV/OuO19F/JyJ 2mQPx5sr0670sPdj6rplPWFKzJ/vqP8A4qzY6KtVijlj+7Bv01yon3MJZTiPCfV5sP8AzC1DzHZl 5dHvLeG2ukeO3g07ToNRnglWLn6jPJxThyj2Vk3LUr0pssWih/Eb+NIGqvpSL/Lue9e2ku9ShsYo qvC9nPBb2cs08ZVZL5/TgWhuGVn47gcuppgy6MHlL9Pw5qdXXRlEb6bLqU7CHTEAhhFPWWn2pf8A irKrGEcJ9SKObcHhYh568yT2t3b6dpNu8FwZlilubPTv0jCy3EZVJDKyJEiQu4dzX9mhFDvfiywk L4R8SAo08hzkS78sdUgu7W5iuIreZ5mN+bm4trbT1ia4dgbORIQ9bmEpWXl8W4rjnzxj/D+n4+7u U6aR5SI/HvZTKum/pKWsemH9zF/u5afbk/4rzQ9qdmfn+EifhcF9Od15hshkODY+u2L+fDfWdk2q 6beQwwW3perp2nWtvqFxN++UvwR4+VWT4dvsip65j6T2WxxBGTJxnff1R6bcp9Duy/PknaNfj3JD +X0+vaneL+lFhiuNKdk1HVNSsLWwl1COXk0cEKxpIqxQV+2shqe3fL9V7NYpcQhkMYmuGPFKXCes vq3tJ1pjW1vQHfS49RgJg01gYZf93JT7Uf8AxVmujp4dkxMsn+EeIR0+mr7zLnaOM6jYeiki88ea I9M0yeLTtHWa7lt5nhvdOhhvvQdFqtYXEXN2/ZXuetMzNH2xpsx3xRhuB66HP/NOw6oOjmP4yfx7 2O+TfNaT66JdRsPWubxILdba7sLSynt43ja59eV0DSeiK+ia7CQU61zK1PaeHHA/uoSiLPpNgkHh oen6v4v6u6/lZHlIj8e96L62lNeWY+raYB6rV/fJT+6k/wCKsxNF2xj1MjDHiliIF3XmNtqZR0ph vKXEEn/MXV5dO0y2l0cWkEzzFZGs7H9LsV4E0MMaxlN+jk0r1zcacSJ9Rl8+FkYx7gwzQvOeuzyC K6Dn61rPGA3WmW1hcCxjIJjhtXjeSS3cEB53o6dePWmTkx1yJ+n+cTv775+SAI9weqXs2kpNZsLb S9pjWkyf75k6/usw8UJ5Dwky/HxRklGAsBItcg1ua7kuNP1bSrHT0pJ9US2huJzwT4kWR1oQzCtP TrvSvSmdDRRA3JJcf875ME8vaxrd1NaaZNpkKXF1ESmqXWm21vBb3gj9VtSk/wBHAFw/qen6NOPI N8Z72y0Q58R+Z5d3NmdSAOTOri6fSPLk8mqzadrFwlzHKsyejbkRGdOMXCOIg8f5u+WaPs7xM8QJ cN/q97g63tHgwylwk0GIa7+eGj6VM0A8oTXx4BhNZKk6VaopX013FNxm/wA3Y0sZriMvdG/0uk0n aozRv0w/ryo/cxOy/NfWrLUYvrrPq1vasHvFtdNtVilAryi9SNXZHHqoGpuOBwz7JAJAJPuF/pbY 9piUQeERvvnR678uW32hmifmbonmHTr+zt9Ft7VJ1e1ju5JY+DeqnH1YuMfxKpb2yzF2QYXl47GP fl9Vb7buFm7V4iMRiQcm39W9vVy96nqP5YXtxdRSWfmHTrK3UASwCKKUuQ4Ynm42qoK9PfLMntAS fTDhHw/U1YPZ6MYkTkZy7/UOncJd+7Cf0HrL6hBa2xksFmufq7yR6XHJAWLTRG6md/RKK7IsrUBA UrueWwl22f4YgfL9Tkw9n4V65GfdvIUNqH1dBY+Pkyhfy0u7DTtWnvNU0mSP0pXiggWNd1Q8pCyx oeUlKlaGh/aOMe3RRHB9Xu+fJqPYNygROVxPmbF7DeXTl+hOzHdn84dFvRPDyWGyVVKRrKFFheg0 t/U+sy/a606VK1UNTWvasg80P5guNC1GDS1mmu5rmZVFulxZSgG5YsyXFJOBp/k+2eVansnJLtGe SWOXBxyN0JA/5v7XKGogI1xC3mdrpX5ow3t5NNH5igW6+u2sUUt9LeRsp+OCSERKv1WSQyMEkeqx BACNxm0ydmwMYgYoGuA7YuE9xBv6gKFgfVezWNRH+d9rI7G288nWtLY/paaztTbRXEzNcQRARCQS CeCbk9yy1HqSIQsuxWlM12Tsk+FP9zUpcVekE71XCQKj5A7x6s/zML+ofNn+nXM/1dqzUPrT1H1O 4/38/wDlZTi7E0HAPHynHlocUTOG3+x7mueqy36I8Ue9gvmny75lPmGC80y+127gcXMs8UF1LaWq PMFiWL0XBchFq8fEjiR4nN1h0/ZEcfBx4jy3PAZbb8669e8NYzZzuYkfNkHkhfMaaYb7X5p4NXvu LXWnSRT3ENuYwUAgKswUOoDN8R3zBz9ldkTAAzAcPUSgCfftuyOozg7Rv5sm0vUJ0a8AnH98P+PK 5P8AumP/ACs2mk0OOGKMcBOTGLqVg9TfId6jP/PqMu5gPnxfP1z5hVtJ/T0liZbdidOnt7G04q0R kLLMHugKI3NV+1Sg+21NtgwAR3iL8wSf1IOePenfk+68zrrl7cahJem2a3hgje5huzCrwKoKJbu1 TJVm53C/DJSqgA5Vm0/pFR/H46dFGeHeGTLqV7+k5zHJyHoQ1P1K5/nl/wArI49NDh9Z4T7wwnnl foHEGHeebDzpNeRX2kXutT+o4aTT7AiygQwqJEJM6O5EzwrG4DdGY03NcrHj04FEx+LAZcp5ilf8 v4/O9vG9z5gkvYrm3QadbW1ys92k1vAR6d5Jwan1mX/djbV/lGRy4tOeRHf0+XuScuQco2yCe9vn 1OUsxB9CL/jyuf55f8rOT9ouzDl4PBjLJXFdEbcvJyNPqKvjqLC/PNr5xu9QtX0qLUZYV9ASNZTz 6egpchn5RlX5/AKserL8A6nMPs3smcIEZMRB3+qHH/DtvtW/LuO7bPUw6SHzS/yzH57hu9Chvk1Y LbNJJex3ZmnZA0AjDXFxHxhul5AhYlAYN+8PWmX6zsckZDDFzquGNdb9IIuB75cq9IRHUw29Q+bP mubn9Iwc5Sv7man+hXH80X+VmBoew8XDL83xYBtw3KIvnfOPRcuqP+TqbHvzC0C/1vSZJbLVNXt7 61jZrW20d7nTmmeoPFnYmPelKuDQe+b3QaPsnTn+8xzv+f4cv0OOc+eX8NfNKfKOjecY79bfVL3V bfTbMwXUE9zLLdzTzxxi3aGVkK1hZIllZeO8jM1e2Tz6PsidnxMYsEbGAqyZWNuYugf5tBPjZwNo k/NnrTzNd2YEx/vW/wCPK4/3zJ/lYOy9HotLkM9Pk8SfDVcUTtY32A7gxmcmYcM48IQfnO98xx6O 8GkWV3qM12Hgc2MRtJ4A6ECZJLh1WoPhUjrQ50GLW2d6DX+SiO9hPky08+aRqc1ze2+vXFxLcJp9 vaandSX1q9kjAyakTFH6cMtN/SZqt0XLp6wdDHl9vd+1MtLEvSruS4+sWX74/wB8f+PK5/3zJ/lZ ijXS7h80fkY95QvmPUNdtNLeTS7ObVLlqp9Xgt3t5AGU/GrzusezU7++9KGWPWknegj8jHvLANDs /P1nf219dRa5JdQOsVtp13cyTwTxF/q0s10YYfQQxwRpcKpfk7swG4OXy1senD+Pj8GR0sSGf+ZL d73SJLWWdxHLJArFbK4B/v0OxJIyXZ/ac4ZoyAFjz8nD13ZmPJhlEk0R+OjBdZ/KGy1C5a5XzDrV o3pGNYLSOWKOpVl5ceJ+L4618QPDOiy9uZ5m+XuJ/W6LTdi6fFHh4RLe7kIk9NuXLb72Iab+X3nS /vLZtVg1nThOBDeSwXKelCPiUyrAqysTSJOj/tnJT7ayk3f3tsexNLGNAA73uBfu5ct/sDMV8hro elX+oyatd313DE9zSW0nWHnErOFRSWKKe/xHLMfbOXKfDIFZPT12vbbdwsvYuDHWSNg4vUPp3rf1 beSE1L83/O1vcxx2WiwXsDKDJNxli4nmARxZ6n4at+GZeT2fiD6eOQ+A/Q4mD2g4okzMIHu59Pf3 7MJ/xj+YZu7K9jXVpjFKtxPatdvFEJAZpXt3QqnqxB5BHUGjLxoaLkf5EFbRl9m32fa5f8uw3Blj HdzNjajsdtrNHcV5svuPzY80X9hqdvcabFa2/wBWZBKYbjkzvGwlUKSNkOwfo3bCOwcdE3MUPt+X 2uMO3ZcUAOAmUu/kL2PPr3cx1TgLqcX5raVLHqbwerDYJJYTem0sq/Ur0L6HKL0Vap6iStK8qEqG 1D3qf6/q2r6bo+oXMF2LKdLicQ3GpPaR2qu1yw/esCp39j1zzPU9vaka6eIG4RnIVGNyofAt35LG Y8RG/veX6je+ate1qS41O98u6nHaW95BaNaX6LJbyxyK/K5T1409KIel63EFwSKUBzPl23OMB/fR lcb4sexB/m+j6ib4b22RHSQHKvmyrQdT81aWdG0S3n0+y0+KO2iaze4t2uJFYPye0BllLJJQGH1D yIryzAye0GbgnIGRI4qPB6RyoS9I3H8VbcqSdFjJ3H2s109NSaBmAvDWaf8A5Y/9/Pmqn2Pq9afz HDCXGAbsjpXK2X5nFi9FkU8j86/l5qs/mOS4ubfRI4bxr2UHULqSG6cSogjbikyRt+9VPVoKUoF3 zqdFoNRixCNTEhw8jDh2JvnvyJ4fPm0nVYybH3FmP5daHdxG/wBbhX1k1X0PTvrWSxd5lt09P96I XktU4kUVYDSnXfNR2j2Fq8sYQEY1C9jI0LN7H6j58XVsjrccSbP2M30uC9LXfIXtfWFaGz/31Hm2 7Njm0mCOKXDEi9ufMktc8ePMePm8/wDzSsfMOozraldIksbaRTCNY1IWUoeZUidGS2WhLLMVQlur qabfFucGrNbk35RtiNPGPIJ35Ds76xubrTIUS201US5hs7ae1numnm+O4uG5TTUt5GcGLoaHcDKs urlV3v7v2c+9TpoE7hlMbXcWpzr/AKaKwwn/AI8z+3LlXBPMOLYrx48O3J5x+YfljUL7Xor+5htB YevBcJPqepSWpLW3B5D9WhZLd2SGJ2BJ3ovIUU1zMGGUY118q+/mx/MwKJ/KjSbuxP1iH05Ibexh 0ueayntLlkntXZmgjYPIotkMjen6n77f95kdTp5y6db/AB5/Z3J/MwHNms81+dTl4G8/uIq1+p1+ 3LnL9tdoajQ8AgYx4r5gnlTbjhjz7nemD/mZJql/bDRLi+sYNNvBB9YttUuIraZx9ZUExtbywv0o qUO7kfI4/Z/tFqJxJlxSIv6IWPpvf0n3n+izOixxOw+1Ivyutb3R1tJbFtKSTW55W1e80m7huLW5 MCssMVj608j+rHsZRw4gdN8yNf2/niZ8JmOEChOFSF8zP01wn+He1/KQlV/e9OkOpSajB/vYaQzf 8sf80WaYT1na0TH0T8Mg9Y8793cmsWn35Wwf82vJnmLXLFbi1gsHS2gljuJdcYRosUtFk4zWssRi HCtSa/R1zddi9j6jTE8cSLIP7uUenKxO73a8mtxy5H7CkPkfyZqVtqdnDbR2Ek1hNaXc8djdxXEE aRWS2TSRLJK9x6wKuiep+79OhP7zkcy9d2ZqMsZbXxRkNyAd5mW9enh5E16uK/4aRHV4xz+4vUNU 1GfS3srm6a8jjM5QMBaMamGQ9BXwzH9m/ZvUQzyOSEa4Dyke+Pm43aHauLHjvi69zHPPGraHruhT RXU140tskk1o0sotIVlCEAzSWrRSenv8Qr0zucfY8oGxEfP9bpx2/jkQOL/Yn9Tzz8vLXTU1Rku7 uym0tXGqPDpN1Ld3D6k1A000t28shQDYFXXlU8hvTLZ9nSnyFmq5jl8G7L2zCA34h74n9T2OPzWl /qFjb20968xlZgpS1XpDJXcgDMPJ2OMcSZR29/7WODtqOWXDGW/uRHmXSX1vSpLPUFvnhWsiATQW 1HCsvxSwNHIFoxDUPTKMemxwNgOZ+bmery/y75anbVrPTJbjSf0bf/v9TsLG+jnvLqSNReROkkzN KypcTySgcgODitcyJYo86Nj+xsOokB+x6Z5u1HWbXQLi4ie7WSN4GVmFmQD66dhk+zOz8MtREEcz 3nudd2lr8kNPOQIsDueP+Z/zS/Mu1vWt7DV9Lt4zEGMWpNBHN0cu1Ep8IVa/Qc6nU9j4oyqIiP60 jf3vOaDtaeSHFk8SRv8AghY6VzHP9jEra51bSNUin0w6bbSWFJrT63dhpg6ivqEGSVfT/wBIkK8u xXbDPszHZFR+Mvd58v2N0O2JygOI5DZ/hx7ddt4jfYfa9C0vz1501iK8s9TuVeCdjAba3FoZFhkX gyzFSwDnf7JyX8l4scJZAKlAEje6oWL3PVwpdqZJ5MeO7jMgSuJF2aPDsOhZdqv5Q+T9WmWa/wBN v5ZUT00dbmOMqvIOKGORaEMAa9c0GXtXPkNyP2PQ6bs7DhFQFC76ny630ebL+Wtj+ktPs7mTSZLd bhbWKJ9TpdC1WS4hSGARyRBpVj+BeYY8w9SaChOvyEDfkO7ycoaPELIB9Rs+80T9oZ9P+Vvl3SNK 1e6trbUDPJbykySS2xAVIzwWikCiAUrTkR1Jww7WzgmiN9js4kuzMEzGx9Jsbnn+PgqWukaPc/mp Zzf7kRqUFtp031ZViMZAs71FP1k3RZSys23BaAUFa1UPSspfTIruO4iubFZ4Dc3B9K4upW3E705L SRaj5nPOtf252fh1MxLDeQSIMhGO5992y/LZpbiezyLzFd/lodfktdPu7XQpbCO5n1K1j0+9PqmR lE0sk31dEkjcQlWX4lkIHUqM20e0Izxxn4EpRPCI+rH/AJu3HYq9u62AwzFgy+/9TO/Keg6E6GB4 YdX1rR3jivNSle4jdZvTEqelzQiNVSX4VibilaCmafN7QaLHEcWAiGQE1UN96NgS7x15szpcxO0/ vZDYW156L8Y6D1p6D67cD/dz/wCTnN6ztzIMh8Cc8eLbhiAKGw83Kx6WNesCUu95hr8lpd+abqG8 8yo11Al6kFm9jLcNbrEYpHVbhreTksQXpX42buVpm7w9o6rwYy4Zken1eIBd3049uL7APO2PgQBr b5Mp8iWl5FcanZw3kD21oYETRLR5re3sS8fMhJFhhMvq15/Z+Hpmu1/bOojCFSyRu/USCZb93EeG uXmyGngSbAZjplhqDtdlVoPWH/H9cj/dUfgudN2Nro5NLGWW5zN7kD+cXEzYJ8XoPDHueZfm7B+X wu+Guaho+mavDT6097bvqlzIjxhYVVZIXeitKrAgHoR2anRabPY9EJV5UP0tIwzHOVsj/Li201xL FZ366tqkkMd816WvbRxZXlHtYvjjFEVFAWOu1PsjKc2eAHqhtflz69UnFkJ2kzGHTrz9JXAeIM3o w7m9uenKXvxzCyakbcHpHuDbjwbev1F5v+YpsZPMEGnah5l0ywEVzbsuk3dtNqFxxm9OFo0dweKz +rx5iPbk29AaZODLMxupHnvdMvCiOQCYfldacGS1j1K1vjc6db3629ms9ik4lZv9yT8beHjJcgry i34ce9chqM8x3jf3/Dn0718KJ5gMwuLKdNTlHoDeCLpe3H88v+Tmj7R7U0uLh/Mw8W7r0xNcr5qd PM/3Z4WEfmVNoUdp9Tu57fS9Yl9A2eo3EVzqQircBY/gMRTeTpVhT7XauOg7V0kwTh054d7rw49N /wCIdP1MTp8oPqn96Tfl3pGgWFxA51ODWIbu6ntvLtvDbzWEVpLbBvrYSOCJYg5IPKUqtelT0y3V 9s6b1nJglYjEzvgNxP036t/Ib0k6fIa4ZV83o8ttc/pGDhFxPozf8ftx/NF/k5zmt7dw8H+CROA3 6qjEXzrkejdi0sr/AHh42C/m1qUWn2SQalr76JZ3cMsTxGC51WGUyURGlX0JgArMKKaBjtvmT2L2 jq8xNcWaiP4xD3geoc/jTKeDHHoB8Eu8rusOrwz2muWzW897BZM0NvPaTzv9V9T6lJS2UqrNIJ/U 5bMSlFy3U9pakQP95GXAT9YIA4vq+vevp4f87dRggegZZ59t7safY8k2+tilbudv90S+K5s/YPtL Nn1sozySmPCJo/1oui9p8UIaYEAD1jp5FhV2Gt7WaedKQRIzykTzueKirfCqMW27AZ60dg8FA8Ug BzPlFiPkf9GjUbuC2v49QvQHLj0HspUVWCurskMXL4x3yjFV87+x2faBnwAmPDH3iQ+8syrf291a ywVilEh4ulzMCKxODuBls8YkKIsOvxZjGzE0a7gjpdT194nR7qZkZSGVrycggihBBG+V/lcf80Ng 7Qy/z5PP/I9xC2rwyWGsWt9cW1qrRxxQNZzoGjjQzI6ojIrrQcaUpt+zlWPDAnofg7XW6rNCG4nD 1c+Kx12/H6XpFlcavf31raXkklzbTTRCSCW8nKMA4NCCD3GDUYo4oGcBwyHUc3D0WollyiE5GUTd ggVyZXf/AJc+Vbtnnu/LunTTcCnrzO8jBaEUq0daUY7ZppazLI2ZEl6PHgxwFRAiO4Cnk/lXy55N vNS0uFtd07W2mdYPTfTpQ9ylZh6RuJI5HX+6AqX29P3y2epyHck/NyDghEUI0Px0+P2vTtS8kaLo /lzU5dP0mC2litZpFuFurhpeaRsyuXZSzMp6cmyem1eWWSMTIkSkAR379XX6rT4hAy4Y8UQSDwjb 3PKfM/nLzFYX0KyeaptKT0w7ws88ysBKoLtIV+EfsnwrXOp1Oh08JDaEfhfX3PM9n63UZIGhPIb5 8QHQ7Ve/f9jFJb0wtYXLa5a2lxwjuYZxau7SuY5pFuamFDE7sWkKj7NCK/FlMtLhoWYjb+bz2O/L bvryc6GszkyAjklUiPrA4d4+n6jdcr63fRltr5p8y3kWqxTa3NdhbVR9VE9woVTGaylmjTl6tald wvTLo6HARL0x2j3eXPl1+xwZa7NGWPeYBnz233+n6j9Pf15sreby23516KhsDLfJZWrMyXMQ09Qb W5AkmH1FmDruKfWBXlWnw5zD6Wz6AacTOfS0ze4uP93L/v8Af/irPPO0fZzxdROf5jh4pE1XLy+s MvzpjtwE1+O55z5j8q6PY63JIt9r9w+owSkpp2oNNZwFnCkqrRcY5P3nwL0AWoGZuPs0iAj4uE8J G5xiz7z4m7EaknfhPz/YyjyNpthBpC3rpbRtfrHIdPuWW2S24rx4RWvGX0K9WXm2++YOs9n/ABSK 1FV1q5H+tLjF+Ww2SNaR/Afx8E7sJ9NWBlNtprUmn3My/wC/n/4qyo9sDRf4P4cs3AAOP+d17j96 /lpZfXxcN9GDea/MGn3GpzE6PrESabDcAjS2iW3uPTaI8l/d8pHetI/Ecvnm0w9sRlGJ8PGOKuct xd8/RtVb+8MRpJD+L7P2pr+XeoeXYNL/AEJp1h6lhpiJ6GqajLCy3InrJ+6mSOknpk8W+EUO2Y+s 9oPDqUsNylewO4r+cOHa+ifyUpH6/wAfNlmnXenB7v8A0TTT++HWZf8AfUf/ABVmfo5fm8Qyi8d3 6e6iR5MJTOI8NcXmwz8x7CzkZbkXesRR3R4my8t3EFEMSCQsSbRpIw/pBdn3Jp+0a7LDg4esT7x/ x5A1BP8ADX49yM/LfT7C0tJbtoiPie2W11KQQswiKoLiSMpKZJpeHJ5+Q9QkniK4M2n4v4vx8+Xk p1JH8P4+TKkbTpdSnb0NMQCGEU9Zafal/wCKsp4/BHDvP8fFBgc298LEPPWq6W13b6YLPUklWYR+ voS1jZbmIx85LgwGPhF6hb7QKstfCuRi1Fi6HxKjTEfxfj5qv5dr5dthe2FjA0qSudRuLvU54HVL i5dhJaiSOPaWIx1dKfDUbmuRy6ojcx/Hf7lOmkf4vx82SSLpw1KX93ptPRi/3ctPtyf8V5o+1Oz/ AM9wnxDh4b+N1/Si2Y5nBtXHf482LefdO0oWDau8l1/ogiH1HQLms0nGYPtAkR516P8A5FRmNpOw jiFePCV39UL6V/qny82X5wyP0EfH9iTeSLKy1HWJLhob2xGkSP8AHrXCKa7NwWf0zcKrLPbQ8qJH wHE78jl+fsXigY+PAcVco7CuoHHtI/xSvfuSdUY16b/HuZ68mnR6jAfQ01qwy7estPtR/wDFWa+E B2VEyJOp8Sh/Vq/OXO/JBJ1G30V9v3JF538w2Npps1mmjTXAureUm70NoXnh4rUBGdBSRuiUU7+G Z2j7ejm/yUYbj6zXP/MOw6sTopR/jv8AHvY/5T1fy7B5llcaXfy6nc+lYyJqskDCKHg1yLjaPkIe TGIvUjn8IHfMjP21wQ4vCiY1e0ut1w/R9XWv5u6/lJHbi+z9rJ/N+oafDa2TrZ6e/wDpVCqTKf8A dMvX91mx9lO246nVGHhGFQJs++O3Lzdb2tjOnxcdme9U8/8ANUVjqcT3P+kWhgt5FFvpV8sDSbh6 qPRoZPg4qSe5HfO+ycJ/YXQ4u1NwPD5nqxuxlstV1O7sOGv6fyK3LTz3KRW6+kwUwRTeg2zEBiAT UdDQmtIMSa9Q/HucrLrfDgJcMJeQ3Pvqnpukarpk2sWEctjp8ac3q8kycdoZOtYshr5XiNDfyadJ rRknwmJiy27n8u/VZv3WlD4G3imiMnQ/YHpH4vDNDWT+k7MGHk848r3Hl0+ZNKPp60/7tvi1SWJb H/eWL/eseivxeG395yy2QyU2yMK/hZp5vl0dvL9wLWHS/rBeH0/QmQyV9dPshYwcyezb8ePH9N9e TrO0d9PPg+qtq5/B4n5qivJdY4GLXVHocidKmZLX4FkanLgP3hpT58c6PVHTmfw/hIrr9v7HR9nD VRxX6fq/ykZGXTz5ftQd3LezXk8qvrckbxloJNNYvaBAI+Ko5E4eQUozcqE8shklg4j/AL2q6e/f 9rdhhqeAUI89+MS4v4v6tR7hX81kflKaeVZ5ZS91FJKHkubl2Fsy/ZPoVEgEYC7/ABHLJHD4WSuH io93Ov4XElHP4+K+IQ4o7UeVj6zt93J7Z6/lv/fOjf8AI+L/AKpZyHDm/p/a9XeLvH2PKrb/AAnD rlmILfWlD6jzfi8MVmXa4uCxuAIE5QHqx/k9PfLeHNXX7XIM8ZG5h9j0PWF8qw6Fqa21rosRkguH YRSwgmRkJLUWIVYnKxHL/S+1qjPGZDcJHFqyxfnLpNLC2a+Nja2y6o1ve/WFimtbmVkSL6wI2FbY VIi/hTJd2zSG5vS1wRJ1ubk/7xXH+/3/AMrPIe1+wtVk1eWccUjGUyb4o97lw1WMAAyDxvUNIttP 836hdXvkexs5Lu1uvT1X9ISxTXIbkpVbdmj4vIXAZ6/DyHxbUzeDs3WHTwj4uTaUfQYRqNV13sDu 69zWNRjJvZnf5eyyta3l7aQOtrftDPHq0kEssl/SFY/VZE9MR8AgSnEVpXvmk7R7C1c+H0E0D6QQ BDe6BN3fPn5NkdVjF7hk2nXM4t25TUPrT1H1O4/38/8AlZbDsXs8RA1GSWPNQ4o8Udv9j3NMtRmv 0RBj0P4LzLzknkKHXbhjY2GoXU8F9+lbqS7ezeH1hHHcq0ck4YFo2HJgBxFOlc6HTaDAIREM+Xgu PD9J5WY/5Pfe672rxcp5xH4+LJvy3nsl0+VvUjt/MEqxNrVp8V7MhVeMBla3ZIhyiAK8UUEePXMH X9l9nT4TPLKMN+HeIHPerjZ38yyGbODtEH8e9mml6hOjXgE4/vh/x5XJ/wB0x+DZm6PQ444ojATP ELo2O830HVP5j+f6Zdzyz84I7KW8mm1Hym+txzgBLiXUptJtJSsakgxvdxfGqxmv7s/ZU1/l3Olw ZAOfD8Af0MTmgetsh/LS5tzeXV/Y2ZjieCO0k1JkmuOBtQqiwRU4cktyzIJd+dKlmrlOo0+QivP8 H4p8aA6s1XUb79JzmOQMPQhqfqVz/PL/AJeVw00BH94eE+8MJ5pE+gcQef8A5jpoQvI7+8sItUvp ZkjlhlurrT41mjRZbasUlzHEzySxwrsnLodwMzMOKAFRka+B/QwGTKeY/HzRv5cakLq4udQZIYdX 9IQWqIxv2Okq5+quv1ZkQREqwRmUvt8Ttkc2DFVGRr9PXopyZRyF/j3spnvNQfU5Sz0Igi/48rn+ eX/KzkvaHsmefg8CJyAcV1KO3JydPqeG/EqLA/zJtvUkiv77QINVtYBbiS/uJZtPWGlyrAVZ1FEP 7zr8Rovyxuy+xtZjiR68XPaoyv019vLy5tktTjJ5gpT5KurC91XT10nTo2OnXV3LPa20r3sFgbkv S8MqFy8l0p/uTJ8CmvEdcu1fY+rlCYPFLijDfYGVV6O4CP8AOr1d6jUYxVmnpjXF1+kYOcvH9zNT /Q7j+aL/ACs1uj7CxQjL87xYRtw+qO/O+hRk1Uj/AHVS72H/AJp2XkW/0wv5nistQvLeGSbTrK79 WxeT06O4SV5ogo+Ec26U67Z0XZWg0mIk6bPk358PDLnsL/dn4OPLNmP1RH4+KT+TovLk2rRyCC30 /TzNBc6WIrg3cMuo+lRDEsb+rz+qIjBeZQruFrVsu1Gh0hiYzzTsRIkTwg8HFuD6Kri61d7X0T4u Ycoj8fFlnn2a4fT7ELLyP1sGn1Sdf90S+LZZ7M4+y9FqJZMeayYEbyB2uPdEdzre19Nq9Xh8OOPi N3s878y6fp19pUn6bjhmsbes59eKSNFZVIDFy6hevWudz/LeiyERGSMiejz+HsDtLAeKOKcO83Hk xTyamkalcyiyggsWZ/rV5BaXC3bySrIJI5JGhkkkCh3YrRwOxFKDDLtPTY95y4Ae+xu5WbsjWz2h E5SBXTYcuXLu6e4s3vV1yRrdNPi+s3ZkPpwi3l3/AHb1/aHQZlaHtfQ5sogcsa8mzsj2Vkc4GtjP BpyDc9tj06HmfJQurX8yo7eR10QuVFeJglQEd/iMm22bueTQAbZbP48nqZ+x/YIFx1OQnuuP/VNg Xliz1211uzXTfL1vHf3Ct6aC+kunVJI45XMcJuJOVVYH4V6AHoRmDhnpBIWeHvPFdcvL3/JjLsPs 7MBHJlyCJ5+rHt8sVnr8vN6bpP8AjOx1Wzu9VsfqenxTRme5a2mog5AAmjn9qgy7XR0mTDKOHJx5 Dyj37+5q1vs72Jo8Us+HUTlkhyEqruP8A6ebN9R/M/yfY84b7zHYW0nDl6U0UsTFTWn2pFpWh3zl p6HLA1KNe+nQ4dTjyC4HiHlv9zy/y35g8o6frGmtJodnpEtvKshnm1KW4ktx++PqGMzuZAPVFB4S Dwy2eizciPubvzuOUbErHu/Z5fY9M1fzto2p6JqtnYavbXdw1vNB6MNvMzeo8RCoaOeLGo65LTaL NHIJGJ4YSBJ7q33cDU6vFKBiJDimCIjvvbb4vLfMvkzzVcajb3C+VpNVaKNeM/7yDiVlV+HAuA3T nU+FM6TU9p6cyBuMvmOrz2g7L1EcZiTLHZ5emX8JF39n2sVudHDXNtbLpAv5eQt4BNdG2lWQLPF9 XjRpIml4OHjVt+RqanjtTPW6fb+L4kVsdvh+lz8Wg1VE2YX/AEQb3j6utXzrpVVuybTvLHmiCDWL iXRbm1X0ODXU0MhJSGMgRKtI/gi3Aeh5Dfkcth2lgqXqFkfo5fBw8nZmcyx7EiMrrbbfeXX6u7p3 Bni6zPF+b+k6dPHJWS2sfq0iWulyXHNrK8NGnaL1kWifCfU23HRjnOPoyfeaNd1bRtB1G+hmnhnS 5mWF7iKKeIO1yw+OO2VpT/sR+Gea5+2NUdfPCJjgE5bUAaHnIU3flMZjxEb/ABedzed9Y1RGvrld O1Ka3h1JEuDprOIDaSrQyPOqsIE5J6pQEu9AinqM2Wv1EZAcZFmH8zfiHSo/UaPDdADeRYjS4+77 /wBadab518x2epaJoiva2FvMlops44EQsJhIS1qIg8SRvwrEJCGArzA2zFl2pqPCnMZCeHi3qNCq +r0g2P4uHb+an8pjvcfaf1s+0+PUmgZv9L3mn/5Y/wDfz5qJdh6vXf4R+7lxgG7kOlcmX5rFi9G4 p5l51Ov6brNxBPBoSHUYbt7ZLyyE080ZaJU9doVZSDJQy+Pw06Vze6X2cmIR4jO48P05NhV3X+9+ LD87E8vuZF+V4ub7R21iytjFp9+sX1OeFYfrcixKY3+tyTl3cq4Ij+I0XMHtD2Z1OSgDEkXdyPCN /wCECq89ubL89jiaLN9LgvS13yF6T6wrQ2f++o82/Z+PLpMEcUjEEXys8yS1yhjzHjq3mH5q+dZd M1hdNun0J4oXRo4deZTOolRQ9I4redVDK7D1OVOJNR8DA7vTTnON3L4Bh+XgOQ+0p5+WGv6hqZFt 6UNtbSWNtfR22mwQwepLcIsk1yvOiejKZVaMMBLQ/GAdsq1GaceR6kb/ANnPv6KNNA8x97N0a7i1 Odf9NFYYT/x5n9uXMfw55xxbH5qZ48O3J53+Y091p+tQald6do3oSSIbbU9Vt4Z72tuollCrFA/x JFHKYz6g34in82Xh0kuGrPuB2UamJ5In8pr+e8inubG2SGygQWN49pHEsn1+ByZo4PXLcbJeZMMe 3Gv2RkdRo5H9vd+vvU6mEebMp5r86nLxN7/cRVr9Tr9uXOY7b12o0PAISjDivoTyryLbijjz7kXT AvzM8x6javFpdy9pLp90Lf17PV7RbpJPUulj/wB0pJENtk5D7XxH4Qcr7L7X1WaJJnZF/SIjlG/4 o37/AC25llLSY4nYfaf1pP8Alz5tvZ4NEubaLT7dvMFxcDUL7SrJLaK6aBW4JCJVjm9VOrtOnEr9 k1zJ7S7S1OGWUDIR4YjQkIki+suGNV3CJu+aI6XHKrH2n9b05/0lJqMG94aQzf8ALH/NFmih+b7W iYmUJ+GQesed9wHczPhafeiLYj+aVl5sXRpb+xi0x7O0hdr8+YLaK5j9I05BfQqwXjWo4tXpm27K 9mcmOX72493hzI+/9jXLXwlsPtCSeS5r7UNRsLWztLP9KackEuo/U4lisYbbi0P+hRS8Ak4cMob0 /wC7oOXbLdT7OZ5cYBuMrril6ibv1nrHrV/VvSfzkAASzvzGqJHZHU1vWt/rNAB9Vry9GWn2ae+Y Oh7DGjkcmqEPDMa9Jld2D+guVptTlyy4dMf3nnXL4sX82Xvk+28s6ncTQTvHFbSMVvVjktjRTT1k j5uyV+0FUnNxpf5NlkiMYPGTtRmDfvty8se0xEmco8HXaPL/AErCPyu1/RtQ1WZGtbBNPW1V1/Q9 pPbS+seHMFrlIo2jC8OnxfZqBtmd2hh0WMXmEufWcpd/dI+f2tWnlrZf3Uo3/ViP977noGo8pTbJ 5T+twa2ZT6Ek/wBWKBfTfn9vmv2a9su9nsnZX5oXE1R5cXd73JrPE3r/AFabqI1d9OVHn5oTULT8 9Fsp2N9FxCMX9ZLFo+IHxcgIunHO/nl7Ir0wnfnxf8Us83YVemGTi8+L/innHlfVPP1xr+k2umXO hLqEylrVrOwto5An1eJ3EMptUVP3TDqw2oKVBGY8MmisccPT1oz+z1e/7HFhl7OseJD0/wAVHJ5c vX339j0e/wBK/OK6txb6nderZSSRLLGv1FSf3i8d1jU/ap3zLjquysZEscZiY5c/1uJ2/HsjNosm PTQmM8h6eLirmOfqPS2L+Z/yl/MC9vHng0zSLu2ENOepJDJNzVXKgkKRwDFforkNR2zhnKwARX8U d3zvQdkTxQqUpxlf8EyBW321f2ML0+wn1y/ij02HSrl9THp28d7GZZzM3+62k4SRrGGt5KKHoOKj bGfamOyaFeYs9Ps/Y5MOxssYgGUwQf4ZUK336erfc+96HonkLzfo9jc3t9Z/U7WCtyyRyQSXHCMF nMzFpOb8VFPiOTHa2KcJYo3cxQ26nbcuBk7JyRywykgiBBludgN/SB8dmW6l+b/lPTbmO2vb7UIp pVDRr6EDVUuIwaqrAfEw65psnY+aBqVX73c4O1MOWJlCyB+q/uebt+Y+k2+pabdvb6LBazTx3qzD T63H1d2uJ1mib0FCTel8XxdG5+IxPZ2QAWeY7/L9jlx10JcQHF6SQfeCB395ehah+Zvl/UNN1Ozt brUJJ1sy7KYbdVCzxMYyWKjqPDcZKPY2ffYbC+bhjtfADGz9UqG3UGiiTaeeB+Y2jrZnUjoL29k9 1Gk119Qp9Wuw6mYnip5ekzgJ/KNzSlb1KOv9cOnRX0h02e7aC6uAYre7neRv9IZTxUha065rcvYe nySM5Y8ZJ33hEn7nMHZmeUeMT2PTdg2veb59V1CwaKG60lLWZ/VtryMTPCyAsuou8zVggVVeMSAd Wxx9iaaN1jxi9j6ANvPbkxj2fl6zPPz28/d5o7yl52WC3tdOby/qk1xOyvdausclpbzyzM/O4cR/ AOXp8mIrsVPfBk7D08zvjxnpvAcu7kgdnZydpSF+9k40PzDqemTSabfvprzSymGQXU8np0navwEc TWhGZMBiwxGMRAEe4ABjk1OLDeOcBKcectt/mw/zJpX5saVe2Vta6tf6iJJPrc0tqkzR+jCFT6o7 BHC+szcuY+JQDQHJjLA9B9jUNbhP8EefdH5ckb5T8tfmlqkNrrN55iu7S1nKO+iXkUlvPGELrIjt UuOexB9um+JywB5fcx/PYRLeESPdFrWfzPj8o61faLe2VzeXETpI08d/MFIkhjYD4hXYZrNVmxce 8fsD03Z3stl7SxfmMM44oE1w79NujFfNn583ssVrHo8Oo6bIzv6s0UjXlQYyFBDlQgDkNXvSmV4p 4ZX6Y/Gg26n2J1WAxuZnxfzYyNe/uXeUfzttrDTpornTbyaeW4luJJvVNkWM55/FHF8LMK0Z+rHr jlzYr+kH5Flp/YbV5hYycHlISB5X+PNOoI/NX5kXc2s+XdWuvL9raxx2ctq17dMXkVnk9SsZA+zK B9GMRxi4HhHuRlGn7Fl4GrxR1M5DjEu4cuH1A9Yk/FLfNPkL82dKhgkh82atcmQyDhYNfXZBSMyf GA68eXDgu+7EZdiwnrK/scPUe0PZ+SvD00Mf+bA39jvKfkD83dUs55rnzXqts0MzQBL9720kf0/9 2IokfkjV+Fvwxy4TfplX2rpfaHQYxWXTQyHvEYR+4I+TWNY/La9kt/Mtzc+Y5dSijktpBe3A9JYm cMv7wsfiL5hajBiNeNGOTu4og183Pw9nw7bJlo4x0oxbSH87i5H0gcqSvzT+efq6LPFo9jeadqD8 RDepM14Y6GpPoOUDVA49e+VY9Joid8OP/SR/UnP7D6zDHiOXj8oiRKUeTPzhkttR1C91q3vtWuJw kdrPOiWMsMCE/uvViFZVZjy36eGXZtPoxER8LHw86EYkX7mnTex2rzk1OWOv50Zi/wAf2ssj1fWP zJvEg8tXNz5cl02N3uZTe3B9VZmQKv7vj9koeuDT4MQB8GMcffwxAv5NubQQ7EIlrIx1Qy7RH83h 5n1A87W63+W35qWOlXN4nm/UJmt0MghtJ76ed+O/GOLl8THoBmVDDK95OLl9pOzJxqOkhE99QP3x Sny35G/OHUL+a3uPNWsQLDH6nq3n1y2ibkxUIjiV6svEkjwIy3JiNbGvtcbTdv6LGbyafHkG+whC PdXQ+fvvoye18oeavLl9bX3mPV5PMFjIzQpZS3d0yrK0bMsn7zmKhUYdO+azXZI4MfFlHiRuqoc+ /dyMvaOm14GLSYRpsg9XGOseXD6QDuSD8EV5j1yyt9B1Cay0ZxdpBIbdrd3uZg/H4SkDBBIwO/Ek VzV4O09FOYj4URZ5kRA+JcefZWtgDLxpGugMr+9iH5ea7r9xqs0uuW1/cWP1ZFS2v7OHTl9cFS8i yQtJJvypwYU9yQcytXrNBiG0MUv6vCf0Bhi0GsybDJkh/WMv1s9Z4dSu7O002yGn3bysUuVupgQF ikJFVUHcZjYNXh1J8PBHwsh/iEY/o71zaTNpY+Jnn42Mfwkne+XPbZE6p5S84tpt0LXWZbe5MT+j OlzdTMj8TxZYzs5B6L3zMxdm6kSBlmMo3uNxfxtwp9raQggYAD3+n9TCPLdt+ZVz5gs4LvUdea0u Udj9atZrW3Q8DMBNNyLowEqxjbdkPzzMzaDIYVHJwy7+KR7ul+/5sIa/TxNyxxkO6o+fkzu60HzB YJHd3N69xBFNCXh+u3XxVlUDqKdTlWi7O1EcoMsxlHu+HvRn7Q02aBhDCISPXbb7EXda1JFbTSrZ yu0aMwSO8uGclRWirQVJ7DN/+XPe6v8AKnveYaH5y8/Lq2lxah+nrmGa4EdyG0/6vDxCBz6siyO0 S/6Qi8hX4o2yZwBmdOHpGsXcl3pF9aLbsjXFvLEHN7OwBdCtSOO/XDih4cxP+ab+Tj59GZY5C+YP Ri+pfk/5uuLmOSy12KyhVQJIf3kvNg4YnkwBFVBXb55usntDZ9IlEe+3lMHs/CMSJ8Mz31XT9e7B Lfyb57W5tbKEahaNLOLee5i06QwSSFpomvJmb0fTEjRrK3EEAFdzy2j/AC2QNtu/lue/4uaewcRJ Mql3f0RtUevIWP7GWr+UXmbTtN1a4u7+1eExSypBC0qbhCXlZgiVaSlSDWh/aOGPb0aI4T6vP7XH l2HcoESFxI6Xtew57Vy/Q94/wx5a/wCrTZ/9I8X/ADTmse3d/hjy1/1abL/pHi/5pxV3+GPLX/Vp s/8ApHi/5pxV3+GPLX/Vps/+keL/AJpxVr/DHlr/AKtNl/0jxf8ANOKt/wCGPLX/AFabP/pHi/5p xV3+GPLX/Vps/wDpHi/5pxVr/DHlr/q02X/SPF/zTirf+GPLX/Vps/8ApHi/5pxV3+GPLX/Vps/+ keL/AJpxVr/DHlr/AKtNl/0jxf8ANOKt/wCGPLX/AFabP/pHi/5pxV3+GPLX/Vps/wDpHi/5pxVr /DHlr/q02X/SPF/zTirf+GPLX/Vps/8ApHi/5pxV3+GPLX/Vps/+keL/AJpxVr/DHlr/AKtNl/0j xf8ANOKt/wCGPLX/AFabP/pHi/5pxV3+GPLX/Vps/wDpHi/5pxVr/DHlr/q02X/SPF/zTirf+GPL X/Vps/8ApHi/5pxV3+GPLX/Vps/+keL/AJpxVr/DHlr/AKtNl/0jxf8ANOKt/wCGPLX/AFabP/pH i/5pxV3+GPLX/Vps/wDpHi/5pxVr/DHlr/q02X/SPF/zTirf+GPLX/Vps/8ApHi/5pxV3+GPLX/V ps/+keL/AJpxVKfM/kiHUNKa30E2Oi6gWUrfPp1veKFH2lML+mDUd+Wx+7FWKR/ld56gj4nzHpl6 8trLbPI+iWVuIJ5JTJHexoqzeqYY6RCBmVW+0XrsVVdPy585Jpk4Oo6XLqIt1tbUnTrRYzIqujX0 hFuSsjF0k9AKUBTjyIbkFVX/AJVd5j5OG8x2Rja6SdB+grCogEzO9sT0KNCVjDU5gjlU14hV/9k= xmp.did:E1C425B4D437E111AE5A901159E153AD xmp.iid:E1C425B4D437E111AE5A901159E153AD uuid:F16E202F8128DF11B027879441C3DC27 uuid:62558747291C11DF8C2FBDB696499764 uuid:62558747291C11DF8C2FBDB696499764 converted from application/postscript to application/vnd.adobe.illustrator saved xmp.iid:E1C425B4D437E111AE5A901159E153AD 2012-01-05T16:15:11-08:00 Adobe Illustrator CS5 / converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator uuid:62558747291C11DF8C2FBDB696499764 Basic RGB 7.000000 4.299995 Inches 1 False False Helvetica-BoldOblique Helvetica Bold Oblique Type 1 003.001 False HVBO____.PFB; HVBO____.PFM Helvetica Helvetica Medium Type 1 003.001 False HV______.PFB; HV______.PFM Cyan Magenta Yellow Black Default Swatch Group 0 White RGB PROCESS 255 255 255 Black RGB PROCESS 0 0 0 RGB Magenta RGB PROCESS 255 0 255 K=100 GRAY PROCESS 255 endstream endobj 705 0 obj << /Annots [706 0 R 710 0 R] /Contents [711 0 R 708 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 1422 0 R /Resources 707 0 R /Rotate 0 /Type /Page >> endobj 706 0 obj << /A << /S /URI /URI (http://www.xilinx.com) >> /Border [0 0 0] /Rect [275.58 25.92 336.42 36.84] /Subtype /Link /Type /Annot >> endobj 707 0 obj << /ColorSpace << /Cs8 1435 0 R >> /ExtGState << /GS1 1436 0 R >> /Font << /F1 1437 0 R /F3 769 0 R /F8 800 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC77 792 0 R >> /XObject << /Iabc2696 709 0 R >> >> endobj 708 0 obj << /Length 18 >> stream q /Iabc2696 Do Q endstream endobj 709 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 108 14] /Resources << /ExtGState << /Gabc2697 780 0 R /Gabc2698 782 0 R >> /Font << /Fabc2699 791 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlþR= P+!8tg cWJ =̤L[QTo8acX-`~߇]&`j[i8 [nl w/x(RIE\1%sw4_qR0qdd5F *c*h;ɘҜHr$sBDQ@< q-lb@Tj92;$oo5"]zP.47ucV(H!6,>U]hߟ}j]֠zf'ܱM^RuTkQ>U}ɖD.UrjQyp3> /Border [0 0 0] /Rect [108 14 194 36] /Subtype /Link /Type /Annot >> endobj 711 0 obj << /Filter /FlateDecode /Length 1614 >> stream xWnG }_ 0ڢ;޹-Q.hM^$*l)8rWi>$kyݜ=_WvLIŦl}jvUdhs7/ٽnR41:G(*)ۚ{'6?ޫ9{3WMETdM=p,7,v܂16{(]nnn4M%r{y@`EKhlCJLv9C&oyGdizhKnk!yz(WKV\!pTˡ&9ߝ/W<؞ZEs)ph?=ny}vظ^/%'nOAhqؚ8-aH{qdfi tBX{~* y-ۖޮ{RCUjIhAc=AifRi3ˀ6Ϟ|@[-av|l߫v5;am%gt٠Rhd [w6zm&eNwjsmΞK1ΛgG 1GqDRuͻj֗zivHv6d%^3ȹtpПE~wS|9σ<qc{ſc"SD#NxPozԬQ0l=X<*&g yZwx!dcj 8/g!͑:TVb}hl\#?aڑSq}Of]gʼn XywBS w贐NY:\J9<5ױ앣jTj8>ߎAA?ND.u8/Yش /Z!;ဃ3D)pQa MCw>$$vJ,vqhʀYӉoǟX V5{SHx$:x@Qp!M;/FiLf<1[g"ȘPnzbq)K&j#N^ (1 I` 0Acrÿ 4J;;0:1]R=}# sheRa!3f/4KY|a($/4h,ܘfa,12,XjÒ#"{4}Y(bc ٪3g= lޚ4Qa2ГUWeC$RFDd:FP%vAi{F 7汊N;%8XʼnI#E݈˒Nϡ3Sq&iG@2?|2R" LS|*GG`#VȒaRpBA,}Ä >)dDR> endobj 713 0 obj << /A << /S /URI /URI (http://www.xilinx.com) >> /Border [0 0 0] /Rect [275.58 25.92 336.42 36.84] /Subtype /Link /Type /Annot >> endobj 714 0 obj << /A << /S /URI /URI (http://www.xilinx.com/support/documentation/user_guides/ug480_7Series_XADC.pdf) >> /Border [0 0 0] /Rect [338.82 252.18 558 264.18] /Subtype /Link /Type /Annot >> endobj 715 0 obj << /A << /S /URI /URI (http://www.xilinx.com/support/documentation/boards_and_kits/kintex-7/kc724_gsg/v1_0/ug930-kc724-ibert\ -gsg-ise.pdf) >> /Border [0 0 0] /Rect [400.98 222.66 558 234.18] /Subtype /Link /Type /Annot >> endobj 716 0 obj << /A << /S /URI /URI (http://www.xilinx.com/support/solcenters.htm) >> /Border [0 0 0] /Rect [195.66 457.86 298.02 469.86] /Subtype /Link /Type /Annot >> endobj 717 0 obj << /A << /S /URI /URI (http://www.xilinx.com/cgi-bin/docs/bkdoc?k=kc724;v=latest;d=ug931-kc724-ibert-gsg-vivado.pdf) >> /Border [0 0 0] /Rect [413.4 207.66 558 219.18] /Subtype /Link /Type /Annot >> endobj 718 0 obj << /A << /S /URI /URI (http://www.xilinx.com/support/documentation/data_sheets/ds182_Kintex_7_Data_Sheet.pdf) >> /Border [0 0 0] /Rect [427.56 192.66 558 204.18] /Subtype /Link /Type /Annot >> endobj 719 0 obj << /A << /S /URI /URI (http://www.xilinx.com/support/index.html/content/xilinx/en/supportNav/boards_and_kits/kintex-7_boards\ _and_kits/kintex-7_fpga_kc724_characterization_kit.html) >> /Border [0 0 0] /Rect [180 331.86 180.18 343.86] /Subtype /Link /Type /Annot >> endobj 720 0 obj << /A << /S /URI /URI (http://www.xilinx.com/support/answers/43390.htm) >> /Border [0 0 0] /Rect [180 313.86 212.52 325.86] /Subtype /Link /Type /Annot >> endobj 721 0 obj << /A << /S /URI /URI (http://www.xilinx.com/support) >> /Border [0 0 0] /Rect [162 529.86 263.16 541.86] /Subtype /Link /Type /Annot >> endobj 722 0 obj << /A << /S /URI /URI (http://www.xilinx.com/support/documentation/data_sheets/ds180_7Series_Overview.pdf) >> /Border [0 0 0] /Rect [282.96 278.16 558 290.16] /Subtype /Link /Type /Annot >> endobj 723 0 obj << /A << /S /URI /URI (http://www.xilinx.com/cgi-bin/docs/rdoc?v=current;d=ug903-vivado-using-constraints.pdf) >> /Border [0 0 0] /Rect [387.06 237.18 558 249.18] /Subtype /Link /Type /Annot >> endobj 724 0 obj << /A << /S /URI /URI (http://www.xilinx.com/support/myalerts) >> /Border [0 0 0] /Rect [405.9 511.86 447.18 523.86] /Subtype /Link /Type /Annot >> endobj 725 0 obj << /A << /S /URI /URI (http://www.xilinx.com/support/documentation/user_guides/ug470_7Series_Config.pdf) >> /Border [0 0 0] /Rect [346.14 177.66 558 189.18] /Subtype /Link /Type /Annot >> endobj 726 0 obj << /A << /S /URI /URI (http://www.xilinx.com/support/documentation/user_guides/ug471_7Series_SelectIO.pdf) >> /Border [0 0 0] /Rect [365.04 162.66 558 174.18] /Subtype /Link /Type /Annot >> endobj 727 0 obj << /A << /S /URI /URI (http://www.xilinx.com/support/documentation/user_guides/ug472_7Series_Clocking.pdf) >> /Border [0 0 0] /Rect [366.06 147.66 558 159.18] /Subtype /Link /Type /Annot >> endobj 728 0 obj << /A << /S /URI /URI (http://www.xilinx.com/support/documentation/user_guides/ug474_7Series_CLB.pdf) >> /Border [0 0 0] /Rect [387.78 132.66 558 144.18] /Subtype /Link /Type /Annot >> endobj 729 0 obj << /A << /S /URI /URI (http://www.xilinx.com/support/documentation/user_guides/ug475_7Series_Pkg_Pinout.pdf) >> /Border [0 0 0] /Rect [376.86 117.66 558 129.18] /Subtype /Link /Type /Annot >> endobj 730 0 obj << /A << /S /URI /URI (http://www.xilinx.com/support/documentation/user_guides/ug476_7Series_Transceivers.pdf) >> /Border [0 0 0] /Rect [361.32 102.66 558 114.18] /Subtype /Link /Type /Annot >> endobj 731 0 obj << /A << /S /URI /URI (http://www.xilinx.com/cgi-bin/docs/ipdoc?c=pcie_7x;v=latest;d=pg054-7series-pcie.pdf) >> /Border [0 0 0] /Rect [417.3 87.66 558 99.18] /Subtype /Link /Type /Annot >> endobj 732 0 obj << /A << /S /URI /URI (http://www.xilinx.com/support/documentation/boards_and_kits/ug770_SuperClock-2_Module.pdf) >> /Border [0 0 0] /Rect [400.68 72.66 429.54 84.18] /Subtype /Link /Type /Annot >> endobj 733 0 obj << /ColorSpace << /Cs8 1435 0 R >> /ExtGState << /GS1 1436 0 R >> /Font << /F1 1437 0 R /F3 769 0 R /F4 772 0 R /F6 796 0 R /F7 807 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC78 814 0 R >> /XObject << /Iabc2715 735 0 R >> >> endobj 734 0 obj << /Length 18 >> stream q /Iabc2715 Do Q endstream endobj 735 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc2716 780 0 R /Gabc2717 782 0 R >> /Font << /Fabc2718 791 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫J w/x(&-@BLf;|/(qe2r1""$,Ih;ɘҜHr$sBDQ@< ոs@61 Ej92;Po5"]zP.J}G"QB Wuţ}"juZG)qJ9Lڴ[쥭}-UaoKe͞\w>?lIHd%okp:3ϳ-nQ-~C2k,`O6OaePDt / CSi ܟBjdԜO\0s251" ^/Ej;'9 ).Q8!h'-:A/} endstream endobj 736 0 obj << /A << /S /URI /Type /Action /URI (http://www.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG932&Title=Kintex-7%20FPGA%20KC7\ 24%20GTX%20Transceiver%20Characterization%20Board&releaseVersion=2.2&docPage=63) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 737 0 obj << /Filter /FlateDecode /Length 4234 >> stream xZ]s۸}Wx$"&c'ܩDީ"7);~O7m9y FoPm" 5+*J%zjR\]OjQ_-,+qu;)AdZ4j1@c0s-LfrYL\^!rW*yQguh²>m|;_ilf-l\ض?[Rlo&NaruTzxx]Yجޮ5Qbebo&Q%tK+# S)(ǀ(OggNWtjMQZ, <xtY>J|U>Etd޽ah h⭨m F%Y@ Yz fmֽx9xsq>[uM+e:翉505hS ^9yYAfٶMmb ϻJ,:6#R7D8eXۉ. ly%V4EYiZA'DpZ)S"'iS|OӞ2}ea%^B+=E(oEcifAY!V gt5M@O=YU!uhㅴEKMR<ЬJ3Bk+WD,H%9IW!x), Xr|n]V49$$\V)&1k C868gheIn?FҼJ97Ґ; /xm&F_O90Z>ig`? ɽ%^R7!+a`)͵cd6a  Jj V&PX%TqҘ{Dɷ{CDBWb=& /2N[&ViUт@U:LVqB} *laӱWvZxDX5#-j0@ O#)gh!QU%ގ&%2 fib>X$0 v%M+ Ok:4F9^DHVf|AZ^g=x(Jc2-+n (EbpBAD|I``  6UeeEl0W醱,mXYQh#%0'wx*jŶ@"@ 6k d] !AIY5'BAᄠpp_d(:g9D Q8a(tY`0=0-^gQ 0R.t EzftaS +fiHU12dF%k!:=7U-;Ёt<W`odZ5o)0 iH6ZI)*qެŒ~J-"`ԼCol{t*Sv4ͫQ38cJ$q͢ȘyO8x!2Ir**,@7ZA񘨢@]m`<*=H! KԂTNƆ70cZp{9=V>H*P jcFUiF]^%:Ƌ ;b۩/7%G=Ը!W`3k:;XE\S" )$$R7XVllǦ9lt M)ʍH8@:#aIt*(7"jbh@4Ml$".779m=CCQ> pebމu u6y6D~|ٽʩwHUt ^FB"-Ԋ$TIjVǀAև co)2nNajua0+i:"M_6t@$WHPz?'5b\dY]>V2m^tQ#V1^.;[w C^҅faT&H8Q5:z+#ȱ^%@$lle +5!i8 1"Ȁ)1HB56_K0X{uV#hX7$ꬉh4e9;@bttUkY2H6^xv4O]Dt[T0*yNyllv).[6|tZCa0}Aދ~YC9_f+ΚE'I 줕q8m󈙇WS[/ BD0D=cȬB@{ִ@AD"- >:bqW~az .i#ћ:n fhA'vīܣ(fHv,Ve#Mެ׻6P(Y$Q0SJ̗k6 H,W-U]XS=0ȏ|φdjM߮oDuŋԔz Ȁ>6t@)Y@ ՕŁ!`n7]-02PNkb9k}ٲm.Jl5aY£>*j2PGtohorlacwb$MtR5UF]xQM]͡-Y8UC`ӣL\FqXY}Żgfӻ*C5J\u퇨ZBې벨^\GHl1fd}Ĥb&՞IdU)qUS 1MwӃC(ԋg(kI1!GhDZNb֤i=M c7E@R &Iђ TYӺsN |*EN QGtISv*Kk[`)\FӉ;72Ѽ O9GÂXA.p[%0فl䚚 ,BEd`e,bwyj(L"f'O޶ W]`-݃oz|_?D,*ID`jcQTR{3ӵsVq++ y)⏔9GHE: ]i=tRxQF_zgEJ|`3Gq{~ Hsq2.Υi43n ?RwU eCUTZ ״L/ڛL3'5 :wIw*{k>V'4~ҌGEUDͰ^z2qn{ʟ.R'*BKGpp%Ѵo~-5WAY?R /.Ȏ=bΎp?B(K)_5@1z㒾+S#ێf~$4Tؐ'kc b|RLP%Tyh('m040.9U?X뢔~.f_8~tiF NީcN{T5<@]pLm׷qoUڎFQQ) (qs e/7R;]d.jdieeTf -qZkj^1|~c+!3k6qq3sͬzLc4D,UicDY%ֻ8^Ui%p[3Ǹ6O"Oq%Q@r$L27ʶTl `;[=k 6 e"*W|uvK/]mh(tP%xrģp8ٽg ~]_ > endobj 739 0 obj << /A << /S /URI /URI (http://www.ti.com/ww/en/digital-power/index.html) >> /Border [0 0 0] /Rect [180 692.94 558 704.4] /Subtype /Link /Type /Annot >> endobj 740 0 obj << /A << /S /URI /URI (http://www.xilinx.com) >> /Border [0 0 0] /Rect [275.58 25.92 336.42 36.84] /Subtype /Link /Type /Annot >> endobj 741 0 obj << /ColorSpace << /Cs8 1435 0 R >> /ExtGState << /GS1 1436 0 R >> /Font << /F1 1437 0 R /F3 769 0 R /F4 772 0 R /F8 800 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC79 792 0 R >> /XObject << /Iabc2734 743 0 R >> >> endobj 742 0 obj << /Length 18 >> stream q /Iabc2734 Do Q endstream endobj 743 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 108 14] /Resources << /ExtGState << /Gabc2735 780 0 R /Gabc2736 782 0 R >> /Font << /Fabc2737 791 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{Ihy㮞~?va"6> }0SxAo}W4hނ׮nwwdth_{t M4i_rƠd2n~A$V-l!`aL4GCID感D+%̕')gƵa(RɐىP|}yԬ9*{RvaT(P;b)^G?+QO T>Jج$Va֦b/mk: s[({L̛h*dKRG"+yk+YZ yp3eN9 &K.AS0'rqHB>W!KQN>% tweBC (cμsH/I NЋm߽?&f endstream endobj 744 0 obj << /A << /S /URI /Type /Action /URI (http://www.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG932&Title=Kintex-7%20FPGA%20KC7\ 24%20GTX%20Transceiver%20Characterization%20Board&releaseVersion=2.2&docPage=64) >> /Border [0 0 0] /Rect [108 14 194 36] /Subtype /Link /Type /Annot >> endobj 745 0 obj << /Filter /FlateDecode /Length 1862 >> stream xXMo#77cwM72v2H$ 6@#t Y3<6*v[z2HHEzXdgQo?بsV)$SںRڏƦy҇g:MN!W9LE]`xf=X|j.6ʨ@נ Wf^p6n;9F ٷ^Gꊷ@[~n8i3v}׻mOYfq.2v6]$?Ld'֫TKQvAbpXh>{uqsprz}V];,^;mͲ:ވ_g//mzF ?3{Oi8@([!,SHdӜ+4L@}̈av XqwyھFuEeF+B-*X{3N6D׶ިGM{;d^nI5@S:To|nJ*VE2``pUn;wWͫ1zM4 xv<!c5vDǒ"cUU-Ol"`ua )ew6pUCjtTE2|9 s?y9/LjrK;:Q81zߓ!pg\l:m(s'ʬ(PT ) zjȌC7c |cQu GYCdbr!*qq%!N>eܗ,#mG<"/ S  TYAы#LJsJT|.SPb28PZgNǜl' Yghڄ2߇tYCK%c@+d|XC<,6Z4`Ѣ!bYpOby~OH{Bg">Ƒhh!FD=MySe"m#DDb83Hnz aKL$kddVLGA@97 7vKsq-et$ {yL ӖtܰEDi&1nӃ^G;]xEmp 9(v׺ E`(#xRajYDIx*Cp2^sFvN<qfbE}ED̝˚@IgNAMjG-rГ+2G3 O5={9ET Gʐ*K"Ry QWRJő́<@hN2DBO 2ӊ`g~摅^^zj=^S* 8% dM"LjA玨,d桐;E^H4q V ឝ#\,o*ˠ ĺ=ɞ5S_}G+isMAH]]PQ?9&ڛ=ڟ7uz3E}n6J~z4e6vɴʥs[{u U[c!N&];ߢƧo-Ӎ`r TJӹ`Z(х-mz4vБ>\77j]{9(jz3Aw&㨯3.rgS;W5_w endstream endobj 746 0 obj << /Annots [747 0 R 748 0 R 752 0 R] /Contents [753 0 R 750 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 1422 0 R /Resources 749 0 R /Rotate 0 /Type /Page >> endobj 747 0 obj << /A << /S /URI /URI (http://www.xilinx.com/support/documentation/boards_and_kits/kc724/xtp282-kc724-ce-declaration-of-conf\ ormity.pdf) >> /Border [0 0 0] /Rect [162 454.2 558 466.26] /Subtype /Link /Type /Annot >> endobj 748 0 obj << /A << /S /URI /URI (http://www.xilinx.com) >> /Border [0 0 0] /Rect [275.58 25.92 336.42 36.84] /Subtype /Link /Type /Annot >> endobj 749 0 obj << /ColorSpace << /Cs8 1435 0 R >> /ExtGState << /GS1 1436 0 R >> /Font << /F1 1437 0 R /F3 769 0 R /F4 772 0 R /F6 796 0 R /F7 807 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC80 814 0 R >> /XObject << /Iabc2753 751 0 R >> >> endobj 750 0 obj << /Length 18 >> stream q /Iabc2753 Do Q endstream endobj 751 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 504 /Matrix [1.00464 0 0 1.00412 438 14] /Resources << /ExtGState << /Gabc2754 780 0 R /Gabc2755 782 0 R >> /Font << /Fabc2756 791 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nlI|{@ VBqǮ@{IRS;߼q77Z fڿc}Lh2< +) [n w/x(R\T1B2h7r K$V-dAQ\0j4GCIB%97u%9!^\y( ^)Al\9 " ٷGӮBxgH h@1H!6hxaxOBD>.PkP=(%NW!ӘV tw%BJC (aNs(_|۾{w, endstream endobj 752 0 obj << /A << /S /URI /Type /Action /URI (http://www.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG932&Title=Kintex-7%20FPGA%20KC7\ 24%20GTX%20Transceiver%20Characterization%20Board&releaseVersion=2.2&docPage=65) >> /Border [0 0 0] /Rect [438 14 524 36] /Subtype /Link /Type /Annot >> endobj 753 0 obj << /Filter /FlateDecode /Length 3302 >> stream xYrF}gUa IqycgsQ[ v)R&!_!==AJJŜmzQWgg^ŢڨUv7o/'eśE4Uu.nDƫvPpzvOǢ.26/YN۪f۴2mG3vlsq2 H,W h18 EQ!+>~MCh77LbaK|QakU+y @> $Y ſ./94^)&Y*{g *Wokc=QVQ4 ;C A Y0l<%NprinN^VZ}{vx8k&eNEcc^ }םnVm ۾U[<9Uݑ6Wծ $4SxW7 Wx+Z-"#Y(tk]~Z":Uh/OcmALm~~PyXR^RP8HqP ?.Hԅ?B𐞜>U"z'油DEP\ 庈/:0KeBQqq6uQ9Y8gvhft)Ḧ>]O8վpco{SH*l&!!±{L ,C9v4gh iec cϦE>+Q2?p<O\~$s-C4{9,R@4*aY`+ ͝e`_Xb#-{1`P?50>j04 bU9,!;|8:vX4@)\#e°,~QBbAN$iUɨ%P*MQA `R-EiQ$ҜԪ1axLj(̕axb",a8і2hg19~ƞJ0lQPD/)bcapVIWYՀ  j46:. ]S֣`X'JJ E $+> # $ipؙ1@ٕ{H%'3U #!p# c_(M6g;$ŖIҘQd84ΜL#L\劀)t-bJ_G9g#֬ ʕ44 H#|4tV}U@+Lt`'q52ƿ~HҪ@t0iCюL%e%N<ܻ("0%F{<ƾG\ Ja"A O 0R4qͪʘ%%cBT1+iǙ@-1DbLHKEDM\F q<9fg:0}w$U)=-WژYs[_ yjc"WRsyDP! Ѫa5~ГlUTU߬NQ+&kJ ;GP0T.wT"엉UzlT \:?XNpeo6n3I]'R*ҤC~n~sye3Eiy_RLfOVkz!mFb":ua`v5ݰ[m֫~=ͻ~OY Gsbӥף}X}CH,%U`d fV8@beG5yh$m'^QF͂gVky'u3R*x;E5#d근$\!pģ^e/=fcbdʽI2'Ta.(KxD|q8r-fvCv#s^ kďϺ ^f}N)mJ'nsh*_uէSWJML9 T`|ғg39+ˀ0Ԝ2PX;GMSܙNvg&QL3MxH *0]D'WMpcZ8rKMIΣ,H Bhs .k}۬rTH 7H-69l>4F@@m_4}=cVvEkJMN.SIH&xNll>V$h_/G4d~0Ջ7sO<>"8U|=khsӠ|!ÿWNOv6&=z4; &iz6Jzcp!Pmod AEn6G]keQcX})& ݀I_19B/^@TvǩOGڥH^/"%71{I$jˮٍ^q}6ffCsrwb 7fUHHedM ; ޴HL4",dBjd`nX)^v{T FJ4gL6bo8X;'[0߿:[9lua`6EhdŒE)M>Uhg}#TaKz2BzF*iH4eN9Tmd+gm#zI9 +w7LHź IǪQI.m1" .OV.Ut]>t~&֔XK' #/?i//ٛV;:vݖkgu=pLTQpDqh&n.wС؎FK,XfAuvw@t'5/DtO/ *&.ﬨtM7*jL/ <]fyqEb*Oc6flW>vS^ͩhArg' $.y.,=֝,FdbHh.+2 }Tp S8Prt1 %}gqr (JQE8(**ןjDBxux` v0KXvF1"SΑABV endstream endobj 754 0 obj << /Annots [755 0 R 762 0 R] /Contents [763 0 R 760 0 R] /CropBox [0 0 612 792] /MediaBox [0 0 612 792] /Parent 1422 0 R /Resources 756 0 R /Rotate 0 /Type /Page >> endobj 755 0 obj << /A << /S /URI /URI (http://www.xilinx.com) >> /Border [0 0 0] /Rect [275.58 25.92 336.42 36.84] /Subtype /Link /Type /Annot >> endobj 756 0 obj << /ColorSpace << /Cs8 1435 0 R >> /ExtGState << /GS1 1436 0 R /GS2 803 0 R >> /Font << /F1 1437 0 R /F3 769 0 R /F4 772 0 R /F7 807 0 R /F8 800 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] /Properties << /MC81 792 0 R /MC82 757 0 R /MC83 758 0 R /MC84 759 0 R >> /XObject << /Iabc2772 761 0 R >> >> endobj 757 0 obj << /Metadata 764 0 R >> endobj 758 0 obj << /Metadata 765 0 R >> endobj 759 0 obj << /Metadata 766 0 R >> endobj 760 0 obj << /Length 18 >> stream q /Iabc2772 Do Q endstream endobj 761 0 obj << /BBox [0 0 85.6026 21.9097] /Filter /FlateDecode /Length 503 /Matrix [1.00464 0 0 1.00412 108 14] /Resources << /ExtGState << /Gabc2773 780 0 R /Gabc2774 782 0 R >> /Font << /Fabc2775 791 0 R >> /ProcSet [/PDF /Text /ImageB /ImageC /ImageI] >> /Subtype /Form /Type /XObject >> stream xM1 #.nl'q|{@ VBqǮ@{IRS;߼q77Z fڿc}Lhj[ߕ9p: 橷൫>)W^.P$MZ\1h!sw4_PE1qdbE0EH(.X*w197JIx5s剢xy"q-lb@r2dv"& g5kDN 9]%8 sqE fѯG$DS3Ră;6+IriK[Zߖj?'=ʡ|~72ْԑJ_%+VK2ufg[XL`^_ DD<<%C9j% Os%p!ɒ FPs>q|sɨ\u#URԫ_zݝCr<3/v҂bwo3h endstream endobj 762 0 obj << /A << /S /URI /Type /Action /URI (http://www.xilinx.com/about/feedback.html?docType=User_Guides&docId=UG932&Title=Kintex-7%20FPGA%20KC7\ 24%20GTX%20Transceiver%20Characterization%20Board&releaseVersion=2.2&docPage=66) >> /Border [0 0 0] /Rect [108 14 194 36] /Subtype /Link /Type /Annot >> endobj 763 0 obj << /Filter /FlateDecode /Length 5066 >> stream x[KsGrO>8~fli#=#rV@Q_ˬuBTvUe2/~Tʐcb&|uۯ_'C4?&pzTM,a*M5+sLg._U2Cq2t\]~XS\ ۼn&]p\-߮?|Ifp#?M_/XT"KKP4y8'Rg礵 3lz}i [8;wó7BuS/!;lﶻۇnc] Y@c<1vrcs`^^t{kp~3 Ń5:Ȇ.V'8HL5ZN),b1%b.M̜IsM@KΒ6 {{s? /ɣAQP 6x~'~}Ȯo y\ nۛyI76s%wmagvӥpV甦8&E vq48y\`짨@ *Fe`U)lb9/'\y25B!K<; Sɿ 3;OҏC3-O\ЏK-V/\cb8˯ɘ^y<)8y${?HL0ye+=nh18yfv)| zgB$ԑ@l?n9j8ꬎmLY %HOId`7!=qZ1EqYXEE}`v,i9[Qe l {pY3!]8B8b'MxoDht7[."ynI q;CCj[`m;cJ" LӔKh"A.ܘÒFʷ64YlHɃ,Fh8U1OryiU2mp䚉JCF$HOT V-aFMHSu Ũ[ʱ$:D"Jna.;FCGA۩% ) TqZmFĤ~T*ǐ-ULnR!MtI!G9+ )q颒!'+;z0N:^YTЀ9x\Sgur}[9-9=>CF#ÜxB+.0u"Ye2ݓ8Y`Edwd_G5m0Um`^0~>90UjM&Em'͜7ۿoۚ7v#Won%,4PjtAXqK& ?̎bJbt%uJF&>)({n7R+^M=QST=C>EտT[(ksIkißn? dC~}|ؾwGQ{tZi!t=L6Sq|\kB=$5w:-_y}a)ds8Rth-DѼаq7{tz;^mRGyO[ ([`u(ݦI[*п$lEc\'_eY:Iuzo~6Fk9RvRIg%_"s+_a:?jA-̶2v;ն?%N7$e9 t3 _ _..)阗+.ҥĒJeHqRQ~KSKڗe\+rK,A0T#I?$H?k pSΜH@+%pHt<~¬+G:}x9TcW"&}8[?fhXƳPEef٬cv-X,a_1wu rR"`ESҺ*cTdOP*쵌lbچ eftUջ4%E>Rjx'g3|ҕ+}N`i/ 7o03+MSVƑ K օhrSeӎPbS-BsԡHǑ7D dmlUΧ̐6:>ID0+ aڅO =kC C# s,-r6b/J 84b@T@RbfζP[%W:v[}yf8[ XZĜ:¼5:Fܟ-"2 ?~QrJ;6$F<*uh(EGhSS*6f cL[nkBƅs~_$JZtLjOUBWov\5nYfc6`H\2y)qɵ݋u &\4sywS O1ImLRTIv/M\9.oiOT'GdR,-^ XvVVo$ :!!H0$h@fyp~rgos">oEʵ:l} Y2F$)0JW>"| Ev|+J7)z Xyl.jJmjXܳR{( 6[1!2:6G~Q! 4}G)OEZ}5{2اzn =Y|YE>jƭqwm'ROLg~lK?eHKfwl|m6,+3$6ʌ;8N"X'ԷT B 1p0H"**cEU_A:P΃2jחm\g$C >W8ϱ=839QWЀyIDD,?riN]s|\uD%@2?Y4F]buy{všeX1Ryn qⲾiudD$A>1c[yD;|i0Ï`}x|6̨]@Y}&y"kwKlɻ%M U`,jMLz܄a&VT:6rd&};3yEJ7t-5(ՓVͤ&0y|,_Y;ÍI,UhpDTG4vMjYd|dvK%Ug#h}Zh$L2r- D$^!wAtrF$kDqPXYhBjm!}|-vA!CRT +}Ll2֑*ϸ䧭y^./R09uVlqcѓ Âl)L>iQpvhVbԦqJrŠ=P VYWNvT]Ya Sm0- 9XD -ӽAòV'⋓! wтs<'م6_6L㤯.h\[piJdlevF'I,e+FIZS&#ff,P\[m!UY\A/j|1e5w)ҜӢiREy1,V7qN^Q#}toXjY׿ [P*#P,le-JP_PRt*yt-\d-T ΞT+_J/3ݐ!d%spz4 "ݓn+;UF0ALc~ q>NLYLw;6d"(g I+XGEoqcڥiSN^s ha՟eQ;|J#x(;5-zxRRkA>+t *ڣP*_/dƝW{W+}`ayEyU@%NJ"_ ϒd{R4R ltI x"xQ|\w+I!Po#-G8G8kY%Ӣ6RgڅHDgU8U XI'BX/a{ endstream endobj 764 0 obj << /Length 43947 /Subtype /XML /Type /Metadata >> stream application/postscript Crossed-Out Wheeled Bin Forst From DIRECTIVE 2002/96/EC OF THE EUROPEAN PARLIAMENT AND OF THE COUNCIL of 27 January 2003 on waste electrical and electronic equipment (WEEE) Typical Use: Board user guides in the Warranty section where Board disposal is discussed. Adobe Illustrator CS5 2011-06-16T18:11:28-07:00 2011-06-16T18:11:29-07:00 2011-06-16T18:11:29-07:00 256 256 JPEG /9j/4AAQSkZJRgABAgEASABIAAD/7QAsUGhvdG9zaG9wIDMuMAA4QklNA+0AAAAAABAASAAAAAEA AQBIAAAAAQAB/+4ADkFkb2JlAGTAAAAAAf/bAIQABgQEBAUEBgUFBgkGBQYJCwgGBggLDAoKCwoK DBAMDAwMDAwQDA4PEA8ODBMTFBQTExwbGxscHx8fHx8fHx8fHwEHBwcNDA0YEBAYGhURFRofHx8f Hx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8f/8AAEQgBAAEAAwER AAIRAQMRAf/EAaIAAAAHAQEBAQEAAAAAAAAAAAQFAwIGAQAHCAkKCwEAAgIDAQEBAQEAAAAAAAAA AQACAwQFBgcICQoLEAACAQMDAgQCBgcDBAIGAnMBAgMRBAAFIRIxQVEGE2EicYEUMpGhBxWxQiPB UtHhMxZi8CRygvElQzRTkqKyY3PCNUQnk6OzNhdUZHTD0uIIJoMJChgZhJRFRqS0VtNVKBry4/PE 1OT0ZXWFlaW1xdXl9WZ2hpamtsbW5vY3R1dnd4eXp7fH1+f3OEhYaHiImKi4yNjo+Ck5SVlpeYmZ qbnJ2en5KjpKWmp6ipqqusra6voRAAICAQIDBQUEBQYECAMDbQEAAhEDBCESMUEFURNhIgZxgZEy obHwFMHR4SNCFVJicvEzJDRDghaSUyWiY7LCB3PSNeJEgxdUkwgJChgZJjZFGidkdFU38qOzwygp 0+PzhJSktMTU5PRldYWVpbXF1eX1RlZmdoaWprbG1ub2R1dnd4eXp7fH1+f3OEhYaHiImKi4yNjo +DlJWWl5iZmpucnZ6fkqOkpaanqKmqq6ytrq+v/aAAwDAQACEQMRAD8AHfnDqn5sfl9+ZaedEvnv 9CuGMVmnxC1WE7tZTRA0VvhqH6tTkDUEBS90/Lz8w9A89aBHq2kycXWiXtk5Hq28tKlHA7fyt0Yf SMUMnxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KsY /MP8w9A8i6BJq2rScnaqWVkhHq3EtKhEB7fzN0UfQMVeFfk/qX5rfmD+Zz+dnvXsdEtT6N2KE2zQ V5CxhjJox7lv2ftHegKl9H61oul63pdzpWq2yXen3aGOeCQVDA/iCDuCNwdxih8n+afK3nP8ifOc Wv6BK9z5euX4RSvUxyRk8jaXYWg5UHwt3+0tCCApfS35efmHoHnrQI9W0mTi60S9snI9W3lpUo4H b+VujD6Rihk+KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV jH5h/mHoHkXQJNW1aTk7VSyskI9W4lpUIgPb+Zuij6Bir5p8reVvOf57ec5df1+V7by9bPwllSoj jjB5C0tA1Ryofibt9pqkgFS+sNF0XS9E0u20rSrZLTT7RBHBBGKBQPxJJ3JO5O5xQjcVQWtaLpet 6Xc6Vqtsl3p92hjngkFQwP4gg7gjcHcYq+T/ADT5W85/kT5zi1/QJXufL1y/CKV6mOSMnkbS7C0H Kg+Fu/2loQQFL6W/Lz8w9A89aBHq2kycXWiXtk5Hq28tKlHA7fyt0YfSMUMnxV2KuxV2KuxV2Kux V2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KsY/MP8w9A8i6BJq2rScnaqWVkhHq3EtKh EB7fzN0UfQMVfNPlbyt5z/PbznLr+vyvbeXrZ+EsqVEccYPIWloGqOVD8TdvtNUkAqX1houi6Xom l22laVbJaafaII4IIxQKB+JJO5J3J3OKEbirsVdiqC1rRdL1vS7nStVtku9Pu0Mc8EgqGB/EEHcE bg7jFXyf5p8rec/yJ85xa/oEr3Pl65fhFK9THJGTyNpdhaDlQfC3f7S0IICl9Lfl5+YegeetAj1b SZOLrRL2ycj1beWlSjgdv5W6MPpGKGT4q7FXYq7FWFfnH5sn8s/l7rGoWN3Ha6ssIWwLsvPm7qha NW+0yqxI2xV8j+WPzk/MPTPMdjqF15k1C5tY7hGu7e5uJriF4S49RTE7Mu616Co7Ysn0bdf85U/l VASInv7qld4ralaf8ZXj64opL/8AobTyRKaWWiaxcU+3+6txTw+zM+K02P8AnKC2k+O28m6zNAfs ScBvTY/ZDDr74rTzXWvPE/nz80CL3X9R8g6O9oKi4neILJEu3wc4V/eYqnf+EPKf/l8pv+kk/wDZ Tirv8IeU/wDy+U3/AEkn/spxVi35jQQeXNEgvvL35p3fmK9kuVgksobqQMkTRuxl+CeQ0DIq9O+K vV/+hrvK0Ire+XdYt1XaY+lCeJ6U+KSPvtvTFaRNt/zlj+WE1PUg1O3qaH1beI09z6c0mK0nNl/z kn+T1zQPrL2zGlFmtbkdfdI3UU+eK0yPTPzY/LTUyq2fmbTmdvsxyXCQue+ySlG/DFDKIZ4Z4llg kWWJxVJEIZSPYjbFV+KuxV2KuxVjH5h/mHoHkXQJNW1aTk7VSyskI9W4lpUIgPb+Zuij6Bir5p8r eVvOf57ec5df1+V7by9bPwllSojjjB5C0tA1Ryofibt9pqkgFS+sNF0XS9E0u20rSrZLTT7RBHBB GKBQPxJJ3JO5O5xQjcVdirsVdirsVQWtaLpet6Xc6Vqtsl3p92hjngkFQwP4gg7gjcHcYq+TvNXl jzj+RHnWHXtBma58v3blIJJN0lj+01pdKKfEBurDr9paEEBS+mPy9/MDQ/PPl2LWdKYrv6d3aOR6 kEwFWjenXxVu4xQybFXYq8r/AD+88+YvL+laNo3lqUW+veZbz6nbT7FkQcVbhUGjF5UHKm1fGmKQ wfzT/wA46aLo/kbXvMevave675jtrGa4FxLIViEqIWBoecr0P8z7+GK28E/Lq3gufzB8sW9xGk1v Nq1jHNDIoZHRrlAyspqCCDQg4pfe9r5d8v2i8bTTLS3WlKRQRoKdf2VGLFMMVdirE/Mn5Ufl75l1 NtU1zRo72/ZFjad5JlJVNlFEdRt8sVSr/lQH5Qf9S3D/AMjbj/qpitu/5UB+UH/Utw/8jbj/AKqY rbv+VAflB/1LcP8AyNuP+qmK29BxVDXWmabd1+tWkNxypy9WNHrTpXkDiqTXv5cfl9fVN35a0uZm 3LtZwc9zU/Fw5dffFWN6n/zjz+UWoA8tBW2kNaSWs08JFf8AJV+H3rim3kv5p/lppX5TaSnmDyr5 p1TS764nWK108OG9ZurVaP0aIiip5K3Yd8VYnY/85SfmvbzQvNcWd5HGoV4prZVElP2mMRjap/yS B7Ypp9O/ld+Y+m+f/K6axaRm2uI3MF/Zs3IxTKASAduSMGBVqfiDixZfirGPzD/MPQPIugSatq0n J2qllZIR6txLSoRAe38zdFH0DFXzT5W8rec/z285y6/r8r23l62fhLKlRHHGDyFpaBqjlQ/E3b7T VJAKl9YaLoul6JpdtpWlWyWmn2iCOCCMUCgfiSTuSdydzihG4q7FXYq7FXYq7FXYql+v6BpHmDSL nSNXtku9Pu04TQv94II3VlO6sNwcVfKOu6F52/ITztHq+kSPeeXLx+Ecj19KeKvI21yF2WVRurD/ AFl/aXFL6c8h+fNA87aBFrGjy8kb4bm2anqwS0qY5AO/gehG4xQx382vzXuPJEujafpukPres63K 8drZoxT4U4g0Kq7FmeRQqgeP0qXjX5ga1+cvmzzD5d1yPyFe2Fz5bla4tImimuI3lLxyBmUpH0MI 6Yqq+YPO/wDzkpr2h32jXvlFxZ6hC9vOY9PnV+Eg4niS5ofoxV5tov5cfm1o+s2Gr2nlXUDd6dcw 3duHtZCnqQOJE5AAVHJd8UvWf+Vpf85Qf9Sj/wBy64/6qYod/wArS/5yg/6lH/uXXH/VTFXf8rS/ 5yg/6lH/ALl1x/1UxV3/ACtL/nKD/qUf+5dcf9VMVd/ytL/nKD/qUf8AuXXH/VTFXf8AK0v+coP+ pR/7l1x/1UxV3/K0v+coP+pR/wC5dcf9VMVd/wArS/5yg/6lH/uXXH/VTFXf8rS/5yg/6lH/ALl1 x/1UxV3/ACtL/nKD/qUf+5dcf9VMVd/ytL/nKD/qUf8AuXXH/VTFXn/5u6t+cfmawsr7zl5fl0+w 0kyCO4S0mgjBuSgPqM7OOsahen44peVYq+r/APnEXQtRs/KmsarcRtHa6ncxrZ8gRzW3Vg7rXqvK TjXxU4oL1P8AMP8AMPQPIugSatq0nJ2qllZIR6txLSoRAe38zdFH0DFD5p8reVvOf57ec5df1+V7 by9bPwllSojjjB5C0tA1Ryofibt9pqkgFS+sNF0XS9E0u20rSrZLTT7RBHBBGKBQPxJJ3JO5O5xQ jcVdirsVdirsVdirsVdirsVS/X9A0jzBpFzpGr2yXen3acJoX+8EEbqyndWG4OKvlHXdC87fkJ52 j1fSJHvPLl4/COR6+lPFXkba5C7LKo3Vh/rL+0uKXqfmLR7T86tK8uea/JutrpOq6HK7MJFJlglf g/BwhqrI0YKnowOKsK852n53eVvMvlnQ7rz3LM3ma4NrbXKKQkbiSKP4gRU7zr0xVmP/ACqv8/P/ AC4//CP/AExV3/Kq/wA/P/Lj/wDCP/TFXf8AKq/z8/8ALj/8I/8ATFXf8qr/AD8/8uP/AMI/9MVd /wAqr/Pz/wAuP/wj/wBMVd/yqv8APz/y4/8Awj/0xV3/ACqv8/P/AC4//CP/AExV3/Kq/wA/P/Lj /wDCP/TFXf8AKq/z8/8ALj/8I/8ATFXf8qr/AD8/8uP/AMI/9MVd/wAqr/Pz/wAuP/wj/wBMVd/y qv8APz/y4/8Awj/0xV3/ACqv8/P/AC4//CP/AExVSu/yg/PK8tZbS7/MFLi1nUxzQSws8bowoVZW UggjscVYR+Q35SeWdZ83ebrbzJbpqqeXLlLWAqXjgkl9WZWcIhUMv7jZTtQ9MVL3/wA9eevK/wCX flgXt6EiijX0dN0yAKjSuo+GKJBsqqOppRR9AxQ+bvK3lbzn+e3nOXX9fle28vWz8JZUqI44weQt LQNUcqH4m7faapIBUvrDRdF0vRNLttK0q2S00+0QRwQRigUD8SSdyTuTucUI3FXYq7FXYq7FXYq7 FXYq7FXYq7FUv1/QNI8waRc6Rq9sl3p92nCaF/vBBG6sp3VhuDir5R13QvO35Cedo9X0iR7zy5eP wjkevpTxV5G2uQuyyqN1Yf6y/tLillf5vefNB816P5A85aNJVLDWFS5genq28zenKY5FB5V/cbU2 I3xV9J4odirsVdirsVdirsVdirsVdirsVdirsVdir43/AC6/OjWPJUGv6xFoI1G01vUTJLfSTNEo l+J/SB4NyaknLxxTSl5WtNd/PX80W/xBffV7WCBrqaGKtIrSKRE9C2U1ALNKPib3Y1OxUvsDRdF0 vRNLttK0q2S00+0QRwQRigUD8SSdyTuTucWKNxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxVL9f0DSP MGkXOkavbJd6fdpwmhf7wQRurKd1Ybg4q+LPzf8Ayl1z8vdSaJXkuvLV9IGsb39ksobjHOB8IlRW ah/aFSO4CyfX35fee9E86+W7fWNLmDsVVLy3NBJDOAOcbr29j0I3GLFkuKuxV2KuxV2KuxV2KuxV 2KuxV2KuxViv5l+eNJ8m+Ur3VL+4EMzRPFp8QP7yW5ZD6aIBv13J7DfFXyN+UH5S65+YWpLEzyWv lqxkLX17+yGYLyigB+EyuqrU/sihP7IKyey/lroWlaD/AM5MeadH0m3W10+z0OGO3gXoBw08kknc szElidyd8UPfsUOxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KvNv+cjLIXf5Pa9QVeD6tOle3C5j 5f8ACFsUh836K3nr8pptC856afrOh67bQyvSv1ebmgaS1nArwkRuXBvpH7ShS+t/IfnzQPO2gRax o8vJG+G5tmp6sEtKmOQDv4HoRuMWLIsVdirsVdirsVdirsVdirsVdirHfPnnzQPJOgS6xrEvFF+G 2tlp6s8tKiOMHv4noBucVfInmGbz5+ar65511AGHQ9Dgd0G/oQjbhbQA05yMSC7eG5/ZXFk9A/Kr /oYTTPI2nReVNI0yXRJw9xbTXDx+rJ6rkln/AHyfIbdMUMx/KryX+aEP5sax50862Nvatqemm1Zr WWNk9VGtlQBFeRh+7t9z44q9sxQ7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYqxP82bP65+WXmiG nIjTLqRRvuYojIKU90xVi/5NabpHmv8AIjR9L1i3S8sZoZ7a4gfenpXMiKQRQqwChlI3GKXiuu6F 52/ITztHq+kSPeeXLx+Ecj19KeKvI21yF2WVRurD/WX9pcVfTnkPz5oHnbQItY0eXkjfDc2zU9WC WlTHIB38D0I3GKGRYq7FXYq7FXYq7FXYq7FWO+fPPmgeSdAl1jWJeKL8NtbLT1Z5aVEcYPfxPQDc 4q+Y9C0Lzt+ffnaTV9Xkez8uWb8JJEr6UEVeQtrYNs0rDdmP+s37K4peyfndpmj+V/yH1TSNItkt LKNbW2t4UG3xXURYsTuWYciWO5O5xUM0/LGzFn+XPli37ppdmX6faaBWbp/lE4oZNirsVdirsVdi rsVdirsVdirsVdirsVdirsVdirsVQOv2X1/QtRsacvrVrNBx619SNlpt88VeV/8AOKl79Y/Kz0a1 +p6hcwU8KrHN/wAzcUl6lr+gaR5g0i50jV7ZLvT7tOE0L/eCCN1ZTurDcHFD5R13QvO35Cedo9X0 iR7zy5ePwjkevpTxV5G2uQuyyqN1Yf6y/tLil9OeQ/PmgedtAi1jR5eSN8NzbNT1YJaVMcgHfwPQ jcYoZFirxrzv/wA5MaH5T81ah5euNFurmbT3VHnjkjVW5RrJsDv+3imki/6HC8t/9S9ef8jYsVp3 /Q4Xlv8A6l68/wCRsWK07/ocLy3/ANS9ef8AI2LFaVLf/nLzy5PcRQjy/eAyuqAmWLbkaYrT1zz5 580DyToEusaxLxRfhtrZaerPLSojjB7+J6AbnFD5j0LQvO359+dpNX1eR7Py5ZvwkkSvpQRV5C2t g2zSsN2Y/wCs37K4pfV2gaBpHl/SLbSNItktNPtE4Qwp95JJ3ZmO7MdycUPJ/wDnLG89D8sreAH4 rvU4IuO1SFjlk/WgxSHr+mWn1PTbS0/5ZoY4vH7CBev0YoROKuxV2KuxV2KuxV2KuxV2KuxV2Kux V2KuxV2KuxV2KvD/APnF3/Q7LzhonT9Haw/wdAOS+l0+z/ujtikvcMUJfr+gaR5g0i50jV7ZLvT7 tOE0L/eCCN1ZTurDcHFXyjruhedvyE87R6vpEj3nly8fhHI9fSniryNtchdllUbqw/1l/aXFL6c8 h+fNA87aBFrGjy8kb4bm2anqwS0qY5AO/gehG4xQ+evzb/If8y/Mf5i61rWk6fFNp95JG1vI1xCh IWFEPwswYbqcU2xH/oWb83/+rVD/ANJdv/zXim3f9Czfm/8A9WqH/pLt/wDmvFbd/wBCzfm//wBW qH/pLt/+a8VtKPMn5Q+ePJNtBrPmK3t7S0SZAg+swPJI4NeMaIzMxoOw274qy7QtC87fn352k1fV 5Hs/Llm/CSRK+lBFXkLa2DbNKw3Zj/rN+yuKH1doGgaR5f0i20jSLZLTT7ROEMKfeSSd2ZjuzHcn FCYYq8P/AOcmf9LuvImi1qdQ1gUTx4mOLoPi/wB39sUh7hih2KuxV2KuxV2KuxV2KuxV2KuxV2Ku xV2KuxV2KuxV2KvD/wAkq2P5u/mhplKLNfLdKvgDPO21NhtOMUl7hih2Kpfr+gaR5g0i50jV7ZLv T7tOE0L/AHggjdWU7qw3BxV8oa9ofnX8hPO8eraRI155dvW4RO/93cRD4jbXIXZZVG6sB/lD9pcU vp3yH560Lzt5eh1rR5CYmPC4t3/vIJgAWikHiK9ehG4xQyLFXYqx3z5580DyToEusaxLxRfhtrZa erPLSojjB7+J6AbnFXzHoWhedvz787Savq8j2flyzfhJIlfSgiryFtbBtmlYbsx/1m/ZXFL6u0DQ NI8v6RbaRpFslpp9onCGFPvJJO7Mx3ZjuTihMMVdirw/84D9f/O78s9M+19Wna94ippSZHrQf8w3 XFL3DFDsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVeHeU6WH/OVHm22/3Xf6YksfSvPh aOfD/LxS9xxQ7FXYqlnmTy3o3mTRrnRtZtlurC6XjJG3UH9l0bqrKdwR0xV8p6lpvnj8gfPC31iz X3ly+birNUQ3UINfRmpsk6D7LfSNuS4pfUPknztoHnLQIda0Wb1IJPhmhaglhlAq0Uqjow/HqNsU Kfnzz5oHknQJdY1iXii/DbWy09WeWlRHGD38T0A3OKvmPQtC87fn352k1fV5Hs/Llm/CSRK+lBFX kLa2DbNKw3Zj/rN+yuKX1doGgaR5f0i20jSLZLTT7ROEMKfeSSd2ZjuzHcnFCYYq7FXYq8O1/wD3 If8AOVvl2P7UelaS7Om5AYx3JB36bzLuPbFL3HFDsVdirsVdirsVdirsVdirsVdirsVdirsVdirs VdirsVeH61/uP/5yu0JiaLqukOCe1VjuBTf3gHTFL3DFDsVdirsVSzzJ5b0bzJo1zo2s2y3VhdLx kjbqD+y6N1VlO4I6Yq+UtS07zv8AkD55S9sXa+8u37cULbRXUKmphmpUJPGD8LAe42LLil2g6L5z /PzzzLqmrTGz8v2LBZihrHbwsarb24P2pXA+JiPc/srir6w0DQNI8v6RbaRpFslpp9onCGFPvJJO 7Mx3ZjuTihMMVdirsVdirw/yz/uQ/wCcqvNE5+KLTdKjjjY9mKWqkb9Ptv0/jil7hih2KuxV2Kux V2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KvD/AM3D9Q/PT8tdT+z9Zkay5dK1lCU32/4+cUvcMUOx V2KuxVIfO3nbQPJugTa1rU3pwR/DDCtDLNKRVYolPVj+HU7Yq+XtN03zx+f3nhr6+ZrHy5YtxZlq YbWEmvow12edx9pvpO3FcUu1LTfPH5A+eFvrFmvvLl83FWaohuoQa+jNTZJ0H2W+kbclxV9Q+SfO 2gectAh1rRZvUgk+GaFqCWGUCrRSqOjD8eo2xQn2KuxV2KuxV4d+S/8Ap35zfmfqdK/V7sWYbbp6 8qe5/wCPbFL3HFDsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVeHf85Lf6HqXkDXOn6O1 gfHuKcmil6j/AJh/DFIe44odirsVSHzt520DyboE2ta1N6cEfwwwrQyzSkVWKJT1Y/h1O2Kvl7Td N88fn954a+vmax8uWLcWZamG1hJr6MNdnncfab6TtxXFL6s8t+W9G8t6NbaNo1strYWq8Y416k/t O7dWZjuSeuKHeZPLejeZNGudG1m2W6sLpeMkbdQf2XRuqsp3BHTFXynqWm+ePyB88LfWLNfeXL5u Ks1RDdQg19GamyToPst9I25Lil9Q+SfO2gectAh1rRZvUgk+GaFqCWGUCrRSqOjD8eo2xQn2KuxV 2KvD/wDnGGl2vnXWh01DWGodv2eUnQf8Z/HFJe4YodirsVdirsVdirsVdirsVdirsVdirsVdirsV dirsVdirxf8A5ywtGl/LS1uU2ez1SCUOKAgNFLH169XHTFIewaddi80+1ux0uIklFNtnUN3+eKER iqQ+dvO2geTdAm1rWpvTgj+GGFaGWaUiqxRKerH8Op2xV8vabpvnj8/vPDX18zWPlyxbizLUw2sJ NfRhrs87j7TfSduK4pfVnlvy3o3lvRrbRtGtltbC1XjHGvUn9p3bqzMdyT1xQmeKuxVLPMnlvRvM mjXOjazbLdWF0vGSNuoP7Lo3VWU7gjpir5T1LTfPH5A+eFvrFmvvLl83FWaohuoQa+jNTZJ0H2W+ kbclxS+ofJPnbQPOWgQ61os3qQSfDNC1BLDKBVopVHRh+PUbYoT7FUHrN79R0e+vq8fqtvLNyNNv TQtXfbt3xV5N/wA4o2Zt/wArpJf+WvUriYdeyRRf8ysUl7Lih2KuxV2KuxV2KuxV2KuxV2KuxV2K uxV2KuxV2KuxV2KvNP8AnI6zFz+T2un9uA20ydP2bqMN/wAKTikMm/LO8+ufl15Yuf2pNLs+f+sI FVv+GBxQr+dvO2geTdAm1rWpvTgj+GGFaGWaUiqxRKerH8Op2xV8vabpvnj8/vPDX18zWPlyxbiz LUw2sJNfRhrs87j7TfSduK4pfVnlvy3o3lvRrbRtGtltbC1XjHGvUn9p3bqzMdyT1xQmeKuxV2Ku xVLPMnlvRvMmjXOjazbLdWF0vGSNuoP7Lo3VWU7gjpir5T1LTfPH5A+eFvrFmvvLl83FWaohuoQa +jNTZJ0H2W+kbclxS+ofJPnbQPOWgQ61os3qQSfDNC1BLDKBVopVHRh+PUbYoQn5qXn1P8tfNE9e JGl3aI1aUaSFkX/hmGKpD/zjvZfVPye8vqRR5luJmrUV9S5lZTv/AJNMUl6Pih2KuxV2KuxV2Kux V2KuxV2KuxV2KuxV2KuxV2KuxV2KsS/NuzN5+WHmmEbkaZcygb9YYzL2/wBTFWF/lp+YegeWPyB0 PXtan4Q28U1vHCtDLLLFcSokUa13Zgn3bnbFLyHTdN88fn954a+vmax8uWLcWZamG1hJr6MNdnnc fab6TtxXFX1Z5b8t6N5b0a20bRrZbWwtV4xxr1J/ad26szHck9cUJnirsVdirsVdirsVSzzJ5b0b zJo1zo2s2y3VhdLxkjbqD+y6N1VlO4I6Yq+U9S03zx+QPnhb6xZr7y5fNxVmqIbqEGvozU2SdB9l vpG3JcUvWvzR/MPQfM/5Aa1rmiXHOK5S3t5IWPGWKWS4iWSKVRXiyqT7EdNjirO/ypsvqf5aeV4K UP6LtXZaUo0sSyMCPm2KGVYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FWKfmj5m0Dy /wCR9WudanEVvc201rFEP7yaWaNlWONQQSxr9A3NBir5J/K38ufNX5lXlnpjXEsPljRiwmum/u4R K5keOFT8LTSE/QKV2AGLJ9neW/LejeW9GttG0a2W1sLVeMca9Sf2ndurMx3JPXFimeKuxV2KuxV2 KuxV2KuxVLPMnlvRvMmjXOjazbLdWF0vGSNuoP7Lo3VWU7gjpir4w/NP8t/NP5bXt1pq3Es3ljVy voXS1Ecwib1EjmUfCJoz9+5G1RiyfXH5Y+Z/L/mLyVpd1ok4ltre3itpYtlkhkhjVWjkT9lhT6Ru NsWLKsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVSHzt520DyboE2ta1N6cEfwwwrQyzS kVWKJT1Y/h1O2Kvl7TdN88fn954a+vmax8uWLcWZamG1hJr6MNdnncfab6TtxXFL03/nFlfqWneb tCqf9xursOJrtVfSrTcf7oxUvcsUOxV2KuxV2KuxV2KuxV2KuxV4b/zlGgv7XydoTV46nq6qwGx2 Ai2PQf3+KQ8y1LTfPH5A+eFvrFmvvLl83FWaohuoQa+jNTZJ0H2W+kbclxV9Q+SfO2g+ctAg1rRZ vUt5PhliaglhlABaKVezLX6RuNjihPsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVSLzr500Py d5fuNc1mUpbQ/DHGu8ksrfYijXuzU+jqdsVfLmm6d53/AD+88ve3ztY+XbBuLld4rWFjUQw12eeQ D4mI9zsFXFL6t8t+W9G8t6NbaNo1strYWq8Y416k/tO7dWZjuSeuKHkP5Ig2H5ufmhpdOKy3y3SL TYL687ClDQbTjFJe44odirsVdirsVdirsVdirsVdirw786qX35x/lfph39C8N2Rt09eFu9f+WfFI et+avKuh+adDuNF1q3FxZXA3HR0cfZkjb9l17H+GKHyrND55/IDzyJYi1/5cv2oCarBeQKfst1Ec 8YP0e6ndS+pvJ/nDQvN2hQa1os4mtJhRlNBJFIB8UUq78XWv8RUEHFCdYq7FXYq7FXYq7FXYq7FX Yq7FXYq7FXYqkvnDzhoXlHQp9a1qcQ2kIoqihklkI+GKJduTtT+JoATir5Zhh88/n/55Mspaw8uW DUJFWgs4GP2V6CSeQD6fZRspfVXlXyroflbQ7fRdFtxb2VuNh1d3P2pJG/adu5/hihNsVeHeU6WH /OVHm22/3Xf6YksfSvPhaOfD/LxS9xxQ7FXYq7FXYq7FXYq7FXYq7FXh/mj/AE//AJyp8q24NY9O 0qSWQdwxS6YdP9ZOuKXuGKEp81eVdD806HcaLrVuLiyuBuOjo4+zJG37Lr2P8MVfKs0Pnn8gPPIl iLX/AJcv2oCarBeQKfst1Ec8YP0e6ndS+pvJ/nDQvN2hQa1os4mtJhRlNBJFIB8UUq78XWv8RUEH FCdYq7FXYq7FXYq7FXYq7FXYq7FXYqkvnDzhoXlHQp9a1qcQ2kIoqihklkI+GKJduTtT+JoATir5 Zhh88/n/AOeTLKWsPLlg1CRVoLOBj9legknkA+n2UbKX1V5V8q6H5W0O30XRbcW9lbjYdXdz9qSR v2nbuf4YoTbFXYq8O1snT/8AnK/QWJ4pqukOpNdqrHcgDceMA6Ype44odirsVdirsVdirsVdir5g /Oj/AJyS1RtRufL3km4FtaW7NFd6zHRpJXFVZbdtwqA/7sG56qQOqkB49baH+Z3mZX1e3sdY1hXY l79I7m4DMOv72jVP04pVvJv5heZPJvnK212RXvLyyRrSa1vmkLeifhaKrHlGV/Z8D27Yq+3fJHnP RvOPly117SZOVvcArJE1PUhlX7cUgHRlP3ihGxGLFPcVSnzV5V0PzTodxoutW4uLK4G46Ojj7Mkb fsuvY/wxV8qzQ+efyA88iWItf+XL9qAmqwXkCn7LdRHPGD9Hup3Uvqbyf5w0LzdoUGtaLOJrSYUZ TQSRSAfFFKu/F1r/ABFQQcUJ1irsVdirsVdirsVdirsVdiqS+cPOGheUdCn1rWpxDaQiiqKGSWQj 4Yol25O1P4mgBOKvlmGHzz+f/nkyylrDy5YNQkVaCzgY/ZXoJJ5APp9lGyl9VeVfKuh+VtDt9F0W 3FvZW42HV3c/akkb9p27n+GKE2xV2KuxV4d+b5Gnfnh+WmqH4RczNZctx1lWOld/+WrFL3HFDsVd irsVdirsVdirDPzm1y70P8r/ADFqNoeNytr6MbgkFTcutvzUjcFfV5D3xV8jfk75Z0vV/MGoalrE P1vSfLWnXOtXljWn1kWqgpDXfZmIrXsKd8WSWa5+aHnvWNR+uzazdWwQj6raWcr21vbotAiQRRlV RVCgbb+JJxVk819J5/8Ay01nU9ZZZfNHlA2skeqMP395Y3L+gYp2Aq7QsAwdiTQ098VZr/zh/rt2 mu67oJPK0mtUvgCT8MkMixHiOnxrNv8A6oxQX1Hih2KpT5q8q6H5p0O40XWrcXFlcDcdHRx9mSNv 2XXsf4Yq+VZofPP5AeeRLEWv/Ll+1ATVYLyBT9luojnjB+j3U7qX1N5P84aF5u0KDWtFnE1pMKMp oJIpAPiilXfi61/iKgg4oTrFXYq7FXYq7FXYq7FUl84ecNC8o6FPrWtTiG0hFFUUMkshHwxRLtyd qfxNACcVfLMMPnn8/wDzyZZS1h5csGoSKtBZwMfsr0Ek8gH0+yjZS+qvKvlXQ/K2h2+i6Lbi3src bDq7uftSSN+07dz/AAxQm2KuxV2KuxVgn5t/lfH580mzSC9bTdZ0ub6xpd+tSEc05BgpB34ggg1B APsVXg3nn80Pz2/LvWl8vap5htr6cQpcR3EdvDJWOQsqgs8MbVqhrWvzxSiPy+/NL/nIDz5qdzp2 iavYrcWsP1iU3NvBGvDmqbFYn3qwxVnv6J/5yw/6vWjf8BH/ANk+Ku/RP/OWH/V60b/gI/8AsnxV 36J/5yw/6vWjf8BH/wBk+Ku/RP8Azlh/1etG/wCAj/7J8Vd+if8AnLD/AKvWjf8AAR/9k+KpN5x8 m/8AOTWueWNQ0zV9Q0u906aLnPaW6oJpPRYSqkfGBTyLIKb74q8X/KPzfpflvzJdQa4GGga5ZT6R q7oCXjguQAZFA3+BgK7E0rQE4pTbU/8AnHrz+l2G0OCLXtHnYmw1ayljeGaM9G2Y8PA12r3OK2jf MFnY/lr+X2qeUp7qG885+Z3t/wBLwQMsqWFpat6qRNIv+7nc7ruKf7EsoZj/AM4f+W7s6hrnmV1K 2qQrp0DEGjyO6zSUP+QESv8ArYqX05ih2KuxVKfNXlXQ/NOh3Gi61bi4srgbjo6OPsyRt+y69j/D FXyrND55/IDzyJYi1/5cv2oCarBeQKfst1Ec8YP0e6ndS+pvJ/nDQvN2hQa1os4mtJhRlNBJFIB8 UUq78XWv8RUEHFCdYq7FXYq7FXYqkvnDzhoXlHQp9a1qcQ2kIoqihklkI+GKJduTtT+JoATir5Zh h88/n/55Mspaw8uWDUJFWgs4GP2V6CSeQD6fZRspfVXlXyroflbQ7fRdFtxb2VuNh1d3P2pJG/ad u5/hihNsVdirsVdirsVdir5V/wCcmvJnnDWPzGiu9I0LUNRtRp8EZuLS1nnj5q8pK840Zaio2xSE w/5xZ8o+a9F84atcazot/pkEmnmOOW8tpoEZ/XjPFWkVQTQVpipfTWKHYq7FXYq7FXYq+efzl/5x puNY1K58xeTDGt5dN6t7o8jCNHkY/E8DmiqWPxMrkDqQe2KQXiA/Lr839OaS1h8v63CrkpKtvb3L RtQ92iBRh9NMUso8if8AONn5geYrxJdYt20HSuVZri7FLhgCKiOAnnyNer8R7npitvrjyv5Z0jyx oNnoekRelY2ScIwd2Y9WdyAKu7Elj44sU1xV2KuxV2KpT5q8q6H5p0O40XWrcXFlcDcdHRx9mSNv 2XXsf4Yq+VZofPP5AeeRLEWv/Ll+1ATVYLyBT9luojnjB+j3U7qX1N5P84aF5u0KDWtFnE1pMKMp oJIpAPiilXfi61/iKgg4oTrFXYq7FUl84ecNC8o6FPrWtTiG0hFFUUMkshHwxRLtydqfxNACcVfL MMPnn8//ADyZZS1h5csGoSKtBZwMfsr0Ek8gH0+yjZS+qvKvlXQ/K2h2+i6Lbi3srcbDq7uftSSN +07dz/DFCbYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FUp81eVd D806HcaLrVuLiyuBuOjo4+zJG37Lr2P8MVfKs0Pnn8gPPIliLX/ly/agJqsF5Ap+y3URzxg/R7qd 1L6m8n+cNC83aFBrWizia0mFGU0EkUgHxRSrvxda/wARUEHFCdYqkvnDzhoXlHQp9a1qcQ2kIoqi hklkI+GKJduTtT+JoATir5Zhh88/n/55Mspaw8uWDUJFWgs4GP2V6CSeQD6fZRspfVXlXyroflbQ 7fRdFtxb2VuNh1d3P2pJG/adu5/hihNsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdir sVdirsVdirsVdirsVdiqU+avKuh+adDuNF1q3FxZXA3HR0cfZkjb9l17H+GKvlWaHzz+QHnkSxFr /wAuX7UBNVgvIFP2W6iOeMH6PdTupfU3k/zhoXm7QoNa0WcTWkwoymgkikA+KKVd+LrX+IqCDih8 2a95V/NL82/zSutL123k0bS9Gk4Soatb2luxqpiOyzSzKKhh9r2UbKX0v5V8q6H5W0O30XRbcW9l bjYdXdz9qSRv2nbuf4YoTbFXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq 7FXYq7FXYq7FXYqlPmryrofmnQ7jRdatxcWVwNx0dHH2ZI2/Zdex/hir5o0Hyr+aX5Sfmla6XoVv JrOl6zJwiQVW3u7dTVjKd1hlhU1LH7Pup3Uv/9k= xmp.did:7C8990A77E98E011B0EC8C1026BCB8D6 xmp.iid:7C8990A77E98E011B0EC8C1026BCB8D6 uuid:7D49573A0D65DF11962FCF6E7472D1A2 proof:pdf xmp.iid:8E9CCF07E495E0119287DAB1C0A7FCAA xmp.did:8E9CCF07E495E0119287DAB1C0A7FCAA uuid:7D49573A0D65DF11962FCF6E7472D1A2 proof:pdf converted from application/postscript to application/vnd.adobe.illustrator saved xmp.iid:8D9CCF07E495E0119287DAB1C0A7FCAA 2011-06-13T10:39:03-07:00 Adobe Illustrator CS5 / saved xmp.iid:8E9CCF07E495E0119287DAB1C0A7FCAA 2011-06-13T10:55:39-07:00 Adobe Illustrator CS5 / converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator saved xmp.iid:7C8990A77E98E011B0EC8C1026BCB8D6 2011-06-16T18:11:29-07:00 Adobe Illustrator CS5 / 1 False False 5.332994 1.200005 Inches Cyan Magenta Yellow Black Default Swatch Group 0 White RGB PROCESS 255 255 255 Black RGB PROCESS 0 0 0 Charcoal RGB PROCESS 63 63 63 Graphite RGB PROCESS 102 102 102 Ash RGB PROCESS 140 140 140 Smoke RGB PROCESS 178 178 178 Latte RGB PROCESS 228 188 150 Capuccino RGB PROCESS 213 151 88 Mochaccino RGB PROCESS 139 92 41 Chocolate RGB PROCESS 90 61 28 Mars Red RGB PROCESS 143 0 0 Ruby RGB PROCESS 191 0 0 Pure Red RGB PROCESS 255 0 0 Pumpkin RGB PROCESS 255 64 0 Squash RGB PROCESS 255 127 0 Sunshine RGB PROCESS 255 191 0 Yellow RGB PROCESS 255 255 0 Chartreuse Green RGB PROCESS 204 255 0 Fresh Grass Green RGB PROCESS 125 255 0 Pure Green RGB PROCESS 0 255 0 Spearmint RGB PROCESS 0 163 61 Holly Green RGB PROCESS 0 107 51 Sea Green RGB PROCESS 1 83 83 Caribbean Blue RGB PROCESS 4 115 145 Mediterranean Blue RGB PROCESS 0 160 198 Aloha Blue RGB PROCESS 0 96 182 Black Light Blue RGB PROCESS 0 60 255 Pure Blue RGB PROCESS 0 0 255 Sapphire Blue RGB PROCESS 34 16 210 Tanzanite RGB PROCESS 66 16 210 Brilliant Purple RGB PROCESS 93 16 210 Violet RGB PROCESS 130 16 210 Purple Orchid RGB PROCESS 171 16 210 Fuschia RGB PROCESS 208 16 177 Global Pure Red PROCESS 100.000000 RGB 255 0 0 Global Squash PROCESS 100.000000 RGB 255 126 0 Global Yellow PROCESS 100.000000 RGB 255 255 0 Global Pure Green PROCESS 100.000000 RGB 0 255 0 Global Mediterranean Blue PROCESS 100.000000 RGB 0 160 198 Global Pure Blue PROCESS 100.000000 RGB 0 0 255 Adobe PDF library 9.90 endstream endobj 765 0 obj << /Length 52708 /Subtype /XML /Type /Metadata >> stream application/postscript Lead-Free Symbol 2012-07-19T11:24:21-07:00 2012-07-19T11:24:21-07:00 2012-07-19T11:24:19-07:00 Adobe Illustrator CS5 252 256 JPEG /9j/4AAQSkZJRgABAgEASABIAAD/7QAsUGhvdG9zaG9wIDMuMAA4QklNA+0AAAAAABAASAAAAAEA AQBIAAAAAQAB/+4ADkFkb2JlAGTAAAAAAf/bAIQABgQEBAUEBgUFBgkGBQYJCwgGBggLDAoKCwoK DBAMDAwMDAwQDA4PEA8ODBMTFBQTExwbGxscHx8fHx8fHx8fHwEHBwcNDA0YEBAYGhURFRofHx8f Hx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8f/8AAEQgBAAD8AwER AAIRAQMRAf/EAaIAAAAHAQEBAQEAAAAAAAAAAAQFAwIGAQAHCAkKCwEAAgIDAQEBAQEAAAAAAAAA AQACAwQFBgcICQoLEAACAQMDAgQCBgcDBAIGAnMBAgMRBAAFIRIxQVEGE2EicYEUMpGhBxWxQiPB UtHhMxZi8CRygvElQzRTkqKyY3PCNUQnk6OzNhdUZHTD0uIIJoMJChgZhJRFRqS0VtNVKBry4/PE 1OT0ZXWFlaW1xdXl9WZ2hpamtsbW5vY3R1dnd4eXp7fH1+f3OEhYaHiImKi4yNjo+Ck5SVlpeYmZ qbnJ2en5KjpKWmp6ipqqusra6voRAAICAQIDBQUEBQYECAMDbQEAAhEDBCESMUEFURNhIgZxgZEy obHwFMHR4SNCFVJicvEzJDRDghaSUyWiY7LCB3PSNeJEgxdUkwgJChgZJjZFGidkdFU38qOzwygp 0+PzhJSktMTU5PRldYWVpbXF1eX1RlZmdoaWprbG1ub2R1dnd4eXp7fH1+f3OEhYaHiImKi4yNjo +DlJWWl5iZmpucnZ6fkqOkpaanqKmqq6ytrq+v/aAAwDAQACEQMRAD8A9U4q7FXYq7FXYq7FXYq7 FXYq7FWHec/zf/LfyaHTXtct4LpOtjETPc18DDEHda+LADFXi3mj/nNrRIWeLyx5envabLdX8q26 18RFGJmYfNlOKvLtd/5y3/OPUywtLu00eNtuFlbIxp/rXP1hq+4pirBtT/N380tTYm8816q6t1jS 7mij/wCAjZE/DFWOXer6recvrd7Pcc6c/Vld606V5E9MVQmKoi11HULSn1W6lt6NyHpSMlG8fhI3 2xVkGmfml+ZOmFfqHmnVYFXpGLycx7eMZYofuxVm2h/85WfnPpZUTapBqsS9Ir62iavzeEQyH6Wx V6f5Y/5zct2ZIvNHltox+3daZKH+6Cfj/wAncVe0eTfz0/KzzcY4tK12CO9koFsLw/VZyx/ZVZeI kP8AxjLYqz3FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXkf5m/85M/l95JaWxt5 f09rkdVNhZOpjjcbcZ7j4kSh2IUMw7jFXy95/wD+clfzQ83tJAL86Lpb7Cw00tDVf+LJq+q9e/xB T/Liryokkkk1J3JPWuKpt5f8o+afMc/oaDpN3qkgNGFrC8oU/wCWygqvXqxxV6p5e/5xF/N/VFWS 9gs9Fjbel7cBnp/qWwn39mpir0DSf+cHloG1fzYSf2obSzoPokkl/wCNMVZPZ/8AOFf5aRhTdatr Fw4NSFlto0Ip0I9Bm/4bFUb/ANCb/lJ/v7Vf+kmL/qjiqFu/+cLfyvlBNvqWsW7mnEetbOg8dmt+ X/DYqxvVP+cHrFlLaV5rljYfZjurRZAT7vHLHT/gTirAvMH/ADh3+a+nB3017DWYxXglvOYZSB4r cLEgP+zOKvK/M3kDzt5Xcr5g0S801a8RNPCwhY/5MoBjb6GxVIMVeh+Qvz8/M3yUY4dO1VrzTI6D 9F39bi34j9lOR5xD/jGy4q+ofy1/5yu8g+aTDY65/wA63rElFC3DcrORjt8FxRQlfCQL4VOKvbUd HRXRgyMAVYGoIO4IIxVvFXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYqx3zz+YHlTyPozat5jvVtYN xBCPimncf7rhjHxO34DqSBvir41/Nv8A5yc85edWn03SGfQfLb1T6tC9LmdOn+kTLQ0YdUT4ex5d cVeM4q9Y/Ln/AJxo/Mnzmsd29sND0d6EX+oBkZ1PeGCnqPtuCeKn+bFX0p5G/wCcUvyu8tiOfUrd /MeoJQma/p6Abvxtl/d8faTnir2Czs7Oyt0trOCO2tohSOCFFjRR4KqgAYqrYq7FXYq7FXYq7FXY qtliiljaKVFkjcFXRgCpB6gg9cVeV+d/+cZvyp81CSZdN/QuoPU/XNMpBVjv8UNGhbfr8APvir5t /MT/AJxS/MTyss17pCr5k0mIFjLaKVukUd3tiWY/88y+KvFnR0dkdSrqSGUihBHUEYq9P/Kn/nIT zx+X8kVokp1by8CBJpF05Kov/LvJ8TQn2FV8VxV9o/lt+bHk38w9MN5oN1/pMQBvNNmolzAT/OlT VfB1qp8a4qzHFXYq7FXYq7FXYq7FXYq7FXYq7FXYq8t/Ov8APry9+W9l9VQLqPme4TlaaWCQqKa0 muGH2UqNl+03bapCr4b84+dfMvnHW5da8w3r3l7Lstdo4krURxIPhRB4D59cVR/5eflf5x8/6r9Q 8u2RlSMj63fS1S2t1boZZKH6FFWPYHFX2T+VX/ONPkbyOsV9exrr3mFaMb+6QelE3/LvASyrT+Zq t4EdMVevYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq82/NH8gfIf5gxyXF3b/o3XSP3es2ihZSe3rJ ssw/1vip0YYq+MvzR/Jjzp+XV9w1e39fS5W42mr24LW8ngpPWN/8hvoqN8VYp5f8w635d1aDV9Ev ZbDUrZuUNzCaMPEEdGU9CrAg98Vfa35Ff85H6T58jj0TXfS03zYi0VAeMF4BT4oeX2ZPGOvutRUK q9rxV2KuxV2KuxV2KuxV2KuxV2KvHPz+/P7T/wAvdPbSdJaO7833cdYYTRktEYbTTD+b+RO/U7dV Xwzqep6hqmoXGo6jcSXd9dyNLc3MrFnd2NSzE4q9d/Iz/nHPWfP8kes6wZNN8pI39+BSa74khkt6 1ooIo0hFOwqa0VfbPlzy1oPlrSINH0KyisNOthSK3iFBXuzE7szftMxJPfFUzxV2KuxV2KuxV2Ku xV2KuxV2KuxV2KuxV2KuxVC6ppem6rp8+nanbR3lhdIY7i2mUPG6nsynbFXxx+fP/OMd75VFx5k8 nxyXvlwVku7DeSezFeq9WkhHj9pf2qj4sVeAwTzW80c8EjRTxMHilQlXV1NVZWG4IO4IxV9nf845 f85Ff4uWPyr5rmSPzLEtLG9NEF8ijdWGwE6jfb7Q36g4q+gcVdirsVdirsVdirsVdirzT89fzj0/ 8tvLHqx8Z/MWoBo9IsjuOQHxTyj/AH3HX/ZGg8SFXwHq+ralrGp3OqancPd6heSNNc3MhqzuxqSf 6dsVe4/846f847y+cJofNPmiJo/KsL1tLU1Vr50ND7iFSKMf2ug7kKvtK3t7e2gjt7aJIbeFQkUM ahERFFFVVFAAB0AxVUxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxVxAIodweoxV8jf85I f843nTTdedPJdrXTTWbWNHhH+8/dp4FH+6u7oPsdR8P2VXzTBPNbzRzwSNFPEweKVCVdXU1VlYbg g7gjFX3T/wA45/nlH+YGinSNZkVPNumRg3HRRdwAhRcIooAwJAkUd6EbGgVezYq7FXYq7FXYq7FU m84+bNH8peWr/wAw6xL6VjYRmRunJ26JEgPV5GoqjxxV+dP5hefNb89ea7zzFq7/AL+4PGC3BJSC BSfThjr+yoP0mpO5xVjmKvpr/nHf/nJePS4bPyb51mC6bGFg0nWX/wB0DZUguD/vsdFk/Z6N8O6q vrdWVlDKQysKqw3BB7jFW8VdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdiriAwIIqDsQe hGKvin/nJv8AIgeUNQbzZ5dt+Pli/kpd20Y+GyuHOwAHSGQ/Z7K3w7VXFXi3ljzLrHlnX7LXtHnN vqNhIJYJB08GVh+0rqSrDuDTFX6Kflh+Yelef/J1n5h0+iNKPSvrWtWguUA9SJvv5Ke6kHvirK8V dirsVdirsVfFX/OWX5sN5k80/wCENMmromgSEXZU/DNfgFXPygBMY/yuXtiryPyB5J1bzt5ssPLm lj9/eP8AvJiKpDCu8sr+yLv79OpxV9M/m3/ziTpMvly2vPIMZi1jTLdY7ixkaovxGoBk5MaJO1Ce yt7dcVfJV1a3Npcy2t1E8FzA7RzwSKUdHQ0ZWU0IIIoQcVe8f84//wDOSd35Qa38s+a5HuvK5Ijt LvdpbGp/4J4d916r+z/Lir7Psb6zv7OG9sp47mzuUWW3uImDxujCqsrLUEEYqrYq7FXYq7FXYq7F XYq7FXYq7FXYq7FXYq7FXYq7FXYq7FUHrOj6brWk3ek6nAtzp99E0FzA/RkcUPyPgR0OKvzu/N78 tNQ/Lzzpd6HccpLFv3+lXbf7utXJ4E0p8a04v/lDwpirJv8AnG38128ied47W/m4eXNbZLbUeR+G J60huP8AYM1G/wAknwGKvvcEEVG4PQ4q7FXYq7FXnn58fmQPIP5eXuqQOBq95/oWkL3+sSg/vPlE gZ/mAO+Kvzxd3d2d2LOxJZiakk9STir7c/5xR/KtfK/k7/E+ow8dc8xIsiBh8UNjXlCnsZf7xvbi OoxV7rirxj8+P+cd9K8/20ms6KI7DzdCnwy7JDeKvRLig+2Bskn0GopxVfEGs6Nqui6pc6Vq1rJZ ahaOY7i2lHF1Yfw7gjYjcYq9V/In/nIPWPy9vI9K1QyX/lGd/wB7a15SWpY1aW3r86tH0b2OKvuL Qtd0jX9ItdY0e6S9029QSW9xGaqyn8QQdiDuDsd8VR+KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2Kux V2KuxV2KuxV5Z/zkV+Vi+ffIc31OLl5g0fnd6UwHxPQfvbf/AJ6qu3+UFxV8AEEGh2IxV93/APOL v5lt5w/L2PT76b1Nb8vcLO6LH4pIKH6tKfmilCe5Unvir2PFXYq7FXw9/wA5bef28w/mL+gbaTlp vlpDbUBqrXclGuG/2NFj+anFWG/kZ+Xh8+fmNp2kTIW0uA/XdWIrT6tAQWQkdPUYrH/ssVfokiIi KiKFRQAqgUAA2AAGKt4q7FXmX51fkX5f/MnTPV+Gw8y2qcbDVQCaqDX0Z1H24z27qdx3BVfCPmzy l5g8p65caHr1o9nqFsfijbdWU/ZkjYbOjdmGKsw/Jn87fMX5a6tWHle+X7pw2paSxoG2p6sJP2JQ O/Ruh7UVfeHk7zn5d846Db65oF2t3YzjehAkikoC0UqdUda7g/PpQ4qneKuxV2KuxV2KuxV2KuxV 2KuxV2KuxV2KuxV2KuxV2KuxV8G/85Qfl0vlD8yJruzhEWjeYA19ZquypLUC5iHykPMDsGAxVLv+ ccvP3+DfzQ06WeThperH9G6jU0UJOw9OQ+HpyhST/LXFX6B4q7FUk87+Z7fyt5R1fzFcANHplrLc LGdubqv7uP8A2b0X6cVfmdfXt1fXtxe3chmurqR5riVt2eSRizsfcsa4q+zP+cOfIw0nyJdeaLiO l55gmIgYjcWlsSi0ruOcvM+444q+gMVdirsVdirCPzW/KPyx+Y+hmw1VPQv4QTp2qxKPWgfw3+3G T9pD19jQhV8FfmF+XXmbyF5gk0XXrf05QOdtcpUwXEXaSJyBUeI6g7HFUb+V35reaPy614alo8vq WkpA1DTJGIguUFdmA+y61+FxuPcVBVfev5cfmV5Y/MDy+msaFPXjRL2ykoJ7aUivpyL/AMRYbN2x VlWKuxV2KuxV2KuxVLdf8y+X/L1g2oa7qNvptmtf31zIsakjsvIjk3gBvirzrQv+cmvyv17zbaeW NIkvLu7vpvq9tdC34W7MQTWsjJIBt3TFXq+KuxV2KuxV2KuxV2KuxV2KvIP+cpPI48z/AJV3t3BH z1Hy+36StiOpiQUuV2rt6RL/ADUYq+DASDUbEYq/R38mPOR84flnoWtyPzvHtxBfk9frNuTDKx/1 2Tn8jirNcVfP/wDzmZ5pOnfl3YaBG/GbXrwGVP5rezAlf7pWixV8baZp11qepWmm2i87u9mjt7dP 5pJWCINvFmxV+m/lrQrTQPL2m6JZgC2022itYqClREgTkfdqVOKplirsVYn+ZenfmLqHl1YPIGqW uka6LhGa7vVV4jbhW5pRobocixX9j6cVeMa9o3/OamlWj3Fv5jsNX4LyaGwhsTJQdaLcWdvyPsPo xV4xdf8AOTX5/wBpcy2t15heC5gdo54JdPsEdHU0ZWVrYFWB2IOKsc85fnR+ZPnTTF0zzNqseo2a SCWNGs7KN0cftJJFCki+B4tuOuKsJxVPfJ3nfzT5N1hdX8t376ffBDGzqFdHjbqkkcgZHXv8Q2O4 3xV6ZZf85d/nLbOGmurG9Fa8Z7RFHy/cmI/jirOfLf8Azm9frIieZvLUUkZp6txpsrRsviVhm9Tl 8vVGKvfPy9/OPyB5+i/51/UQb5V5zaZcD0bpB3JjJPIDuyFl98VZriqX+Ydag0PQtQ1m4hmuLfTr eS6mhtlDzMkKl2EasVBag2FcVfLXnT/nJ383Nb02e78leV7rR9BReTa09rJdyBOvP1Cn1aMU8Q3+ tir5x1zzDruv37ahreoXGpXr7NcXMjSvTwBYmg8ANsVZf+QP/k5PKf8AzHL/AMRbFX6KYq7FXYq7 FXYq7FXYq7FXYqp3FvBc28tvOgkgmRo5Y2FVZHFGUjwIOKvzN88+WpfLHnHWfL8tSdMu5rdGPVo1 c+m/+zSjfTir6V/5wk80F7DzF5Wlf+4ki1K1X2lHoz/cUj+/FX1Dir4q/wCczNfa9/Muy0lWrDpG nxhk8Jrl2kc/TH6eKsV/5xk8urrf5y6GJF5QaaZNRl9jboTEf+RzJir7/wAVdirsVdirsVfE/wDz mX5ettO/Myz1S3jEf6Y09JLkig5TwO0TNt/xWIxirwPFX2j/AM4//lJ+XHmP8kNLutY0C0ur/VUv Y7vUHjBufgvJ4kaOY1aNlRFAKU6Yq+f/AM7PyL178ttTMy877yxdSFdP1Om69xDccRRZB2PRuo7g KvMMVaOKq+n6hfadew31hcSWt7bOJLe5hYpIjr0ZWWhBxV9tf845f85ADz3a/wCHfMLpH5rtI+Uc oAVb2FAOUgHQSr1dRtT4htUKq9yIDAgioOxB6EYq6gpSm3SnamKvzj/O7QLLQPzY8zaVYxiK0ivG kghUUVFnVZwijsF9SgxVEfkD/wCTk8p/8xy/8RbFX6KYq7FXYq7FXYq7FXYq7FXYq7FXxF/zmL5d GnfmpFqka0j1uwhmdvGaAm3YfRHHH9+KpP8A84q6+2k/nNpUXLhDq0NxYTnxDxmWMfTLCmKvvXFX 52fn7qx1T85PNlyW5elfNaD5WarbU/5JYq9Z/wCcIdHEnmDzPrJG9paW9mrU/wCWqRpGAP8A0bCu KvrrFXYq7FXYq7FXyR/znFFGNa8pygfvHtrtGbfcLJEQP+GOKvmLFX3/AP8AOLn/AJInyz/0ff8A dQuMVek6xo+l6zpdzpWq20d5p95GYrm2lFUdD2P6wRuDuMVfEf59f847ap5CuZdb0NZL7yhK1ee7 S2RY0Ecx7pU0ST6G3oWVeK4q0RiqY+XNf1Py9rtjrmlymG/06ZJ7eQV+0hrxanVWHwsO42xV+mHl rXrTzB5d0zXLP/eXU7WK7iBNSFmQPxPTda0OKplir4A/5yj/APJ7eZv+jH/un2+KpV+QP/k5PKf/ ADHL/wARbFX6KYq7FXYq7FXYq7FXYq7FXYq7FXzP/wA5vaOJPL3ljWQu9rdz2bPT/lpiEign/o2N MVfMfkHVTpHnny9qgPEWWpWk7H/JjnVmG3iBir9NMVfmH5uvTf8AmvWr4mpu7+5nJ6V9SZm7/PFX 1h/zhLYBPI+v3/GhuNTEHLbf0LdHp47evir6MxV2KuxV2KuxV8l/85x/8dXyj/xgvf8AicOKvl/F X3//AM4uf+SJ8s/9H3/dQuMVeq4qp3Ntb3VvLbXMST28ymOaGRQ6OjCjKytUEEdQcVfG/wDzkF/z jRceWjc+afJ0L3Hl7eW/01atLZVNS0fd4B96d6jcKvnnFWiMVfoJ/wA4y3Mtz+RvleSU1ZY7qIHf 7MV7PGvWv7KjFXp+KvgD/nKP/wAnt5m/6Mf+6fb4qlX5A/8Ak5PKf/Mcv/EWxV+imKuxV2KuxV2K uxV2KuxV2KuxV4n/AM5fWC3X5OzTkVNjf2twp22LFoK7jwm7Yq+GQSDUbEYq/Tz9MH/Cn6Z7/UPr nTf+59Tp/DFX5iySPJI0jnk7kszHqSTUnFX21/zhpGiflLcsooZNXuWc+J9GBa/coxV7tirsVdir sVdir5L/AOc4/wDjq+Uf+MF7/wAThxV8v4q+/wD/AJxc/wDJE+Wf+j7/ALqFxir1XFXYqtmkiiie SVgkSKWkZtlCgVJNe1MVfl7r17a3uu6jeWkSwWtzdTTW8KLxVI5JGZFVR0CqaUxVA4q+l/8AnGf/ AJyH0zQLG08ieafTtNLR3GlatsiRGaRpWjuT0CtI5pJ2r8W24VfXSsrKGUhlYVVhuCD3GKvgH/nK P/ye3mb/AKMf+6fb4qlX5A/+Tk8p/wDMcv8AxFsVfc/5kfmf5U/L3QzqmvXBDSVWysYqNcXDilVi QkdK/Ex2H3Yq+O/Pv/OU/wCaHma5kTTLw+XNLNRFa2BpNTsXuqCUt/qcB7Yq88H5hefhP648y6qJ zSsovrnnsaj4uddia4q9D8if85Ufml5auY11K8/xHpgoJLW/NZqdylyB6gb/AF+Q9sVfYH5Zfmr5 U/MTRP0loc/GeKi32mykC4t3PZ1B3U/suNj8wQFWY4q7FXYq7FXYq8p/5ylRG/IvzIWAJQ2LIT2P 1+AVH0E4q+A8Vfor6kn/ACob1OR9T/C3LnU8uX6OrWvjir87JI3jkaNxxdCVZT1BBoRir7a/5w0k R/yluVU1Mer3KuPA+jA1PuYYq92xV2KuxV2KuxV8l/8AOcf/AB1fKP8Axgvf+Jw4q+X8Vff/APzi 5/5Inyz/ANH3/dQuMVeq4q7FXhP/ADlN+cFp5X8qT+U9MnDeYtchMUyqam2spAVkkbwaRaog+bdh VV8Q4qyfyN+XPm/zxdXtr5bsTeS6fbtdXO4RQo2VAzUHOQ7IvffsDirHZ4J7eeSC4jaGeJiksUil XVlNCrKaEEHtir3/AP5x+/5yWuvKrW/ljzhM9z5aNI7PUG5SS2IpRVIFWeAeA3XtUbYqwv8A5yZu ra7/ADs8w3VrKk9tOmnyQTxsHR0fTrYqysKggg1BGKsX/LHzNZeVvPujeYb5HktdMnNxJHH9t+KN RVr3Y0GKs5tPKn5tfn/5tu/MHpLHZ8vT+vXLPHYWsa7rbw7OzFQdwgJqatStcVeq6d/zg/pC2qfp HzTcSXR3c29siRg+A5u7H5/hirB/zF/5xA85+XrOXUfLV2vmS0hHKW1SIw3oUbkpFykWWn+S3I9l xV4EysrFWBVlNGU7EEYqyP8AL7z7rnkXzTaeYdHkpPbnjPbsf3c8DEepDJ/ksB9BoRuMVfoz5Q80 6V5q8tad5h0p+djqMKzR7glCdnjan7Ubgq3uMVTfFXYq7FXYq8p/5yldF/IvzIGIBc2KoD3P1+A0 H0A4q+A8Vfor6cn/ACob0+J9T/C3HhQ8uX6OpSnjir4A83WRsPNetWJFDaX9zAR1p6czL3+WKvq/ /nCW/D+R/MGn8t7fUxcFdtvXt0Svjv6GKvo3FXYq7FXYq7FXyX/znH/x1fKP/GC9/wCJw4q+X8Ve 7/lV/wA5T6p5C8pad5Ym8vQ6lp9j6xhnW4e3mZZ55JmqSkymjyEbDtir0u1/5zd8otGTdeW9Qikp ssUsMq18KsY/1YqxHzt/zml5hv7R7Tylo6aOzih1C6cXMwB7xxBVjVvdufyxV86ajqN/qd/PqGoX El3fXTmW4uZmLyO7GpZmO5OKvSfy0/5x1/MTzxcQy/U30bQ2oZNWvkZFKV/3TEeLynwp8PiwxV9t /l3+XXlvyD5ch0PQoeMa/HdXT0M1xNSjSysKVPgOgGwxV53+fX/OOumefIJdd0JY7HzfEtWc/DFe qi0WObssm1Fk+htqcVXxHq2k6npGpXGmapbSWeoWjmO4tplKOjDsQcVQjEsak1PTfwGwxVlP5VeW 9N8zfmL5f0HUw50+/u0iuVjbgzJuxUN2rSmKv0f0rSdM0jTrfTdMtY7KwtUEdvawqEjRR2CjFUVi rsVfKH/OXX5N2VpGPzC0K3EIklWLzBbxiiF5TSO7oOhZvgk8SVPXkSq+WsVfXH/OE/m+W40nXvKV xIWFjJHqFgpJNEnrHOo8FV0RqeLHFX05irsVdirsVeJ/85fX6235OywlqG+1C1gUbblS01N/+MNd sVfDIBJoNycVfp5+hz/hT9Dd/qH1Prv/AHPp9f44q+Avz90k6X+cnmy2K8fVvmux8rxVua/8lcVe s/8AOEOsCPzB5n0Yne7tLe8Va/8ALLI0bED/AKORXFX11irsVdirsVdir5L/AOc4/wDjq+Uf+MF7 /wAThxV8v4q+9f8AnG3SdK1P8g/LEOpWUF7CPr9IriJJV31C4r8LhhirJtQ/I38oL9i0/lHTVLAg +hAtv1FP90+n4YqgE/5xx/JNHDjyrbkqagNLcMPpBlIOKsk0H8tfy+8vusujeXdOsZ1+zcRW0Qm2 /wCLSC/44qyTFXYq7FXlv52/kRoH5kaabmIJYeaLZCLLUwtBIANobmgq0deh6p1HcFV8JeZvLWs+ WdevNB1q3Nrqdg/p3EJINCQGVgRsVZWDKR1BxVH/AJd+aYvKfnjRfMc0DXMOmXSTywIQrMg2YKTt Wh2rir9GPKXm7y95t0K31zQLtbzT7ioV1qGVx9qORD8SOvcH9WKpxirsVSbzn5dg8yeUtY0GYApq dnNbAt0V5EIR/mr0YYq/MZ0dHZHUq6khlIoQR1BGKvbf+cPdRa1/OBbcNQX+nXVuw8eJSf8A5k4q +5cVdirsVdir5m/5ze1kR+X/ACxoobe6u57xlB6fVo1jUkf9HJp9OKvmTyBpJ1jz15e0oCovdStI G8AskyqxNPAYq/TTFXxX/wA5m6AbL8yrHV0WkWr6ehdvGa2do2+6P08VYp/zjJ5iXRPzl0MyNxg1 IyadL7m4QiIf8jlTFX3/AIq7FXYq7FXYq+S/+c4/+Or5R/4wXv8AxOHFXy/ir7//AOcXP/JE+Wf+ j7/uoXGKvVcVdirsVdirsVdirsVfAP8AzlH/AOT28zf9GP8A3T7fFXleKs2/Kr82fM/5ca6NQ0l/ WsZyq6lpkhPo3EYP08XA+y4FR7ioKr70/Lv8x/LHn7y/HrOg3HNdlu7NyBPbSH/dcyAmh22PRuox VlGKuxV+Yvna2S18569ap9iDUbuJaCgok7qNh06Yq9J/5xMhd/zr0tlFRFbXjv7AwMv62GKvvHFX Yq7FXYq+Iv8AnMXzEupfmnFpcb1j0SxhgkUb0mnJnc/8BJGPoxVJ/wDnFXQG1b85tKl484dJhuL+ ceASMxRn6JZkxV964q8A/wCczPKzal+Xdjr0UfKbQrweq1K8be8Aiff/AIyrFir410zUbrTNStNS tG4XdlNHcW7/AMskTB0O3gy4q/Tbyxr1p5h8u6Zrtmf9G1O2iuoh1oJUD8T7rWhxVM8VdirsVdir 5L/5zj/46vlH/jBe/wDE4cVfL+Kvv/8A5xc/8kT5Z/6Pv+6hcYq9VxV2KuxV2KuxV2KuxV8Af85R /wDk9vM3/Rj/AN0+3xVif5YeWbHzT5+0Xy9fu8dpqc/1eWSIgOoZGoy1BFVO+4xVH/mr+U3mf8uN dOn6snrWM5ZtN1OMH0biMH6eLgfaQmo9xQlVLfIH5heZvInmCLW9AuTFMtFubdqmG4irUxTJ+0p+ 8dQQcVfef5S/m/5Z/MjQxeaa/wBX1S3VRqeku1ZYHPcGg5xtT4XH00NRirOyQBU7AdTir8u/MF8N Q17Ur9SWF3dTzhiSSRLIz1JPXrir37/nCfy/Jceddc11lrBp1gtqp3p6t3KrCnyS3b78VfY2KuxV 2KqdxcQW1vLcTuI4IUaSWRjRVRBVmJ8ABir8zfPPmWXzP5x1nzBLUHU7ua4RT1WNnPpp/sEov0Yq +lv+cJPKxTT/ADF5plX+/kj020b2iHrT/QTJH92KvqDFUl87eWLbzT5R1fy9ckLHqdrJbhz+w7L+ 7k7/AGHo30Yq/M6+srqwvrixu4zDdWsrwXETdUkjYq6n5MKYq+y/+cOfPI1byJdeV7iSt55fmJgU nc2lyS60rueEvMew44q+gMVdirsVdir5J/5zidDrHlOMEc1t7xmXuAzxAH6eJxV8w4q+/f8AnFmV H/Ivy4qmpja+Vx4E387U+5hir1fFXYq7FXYq7FXYq7FXwB/zlH/5PbzN/wBGP/dPt8VSr8gf/Jye U/8AmOX/AIi2Kvvzzd5Q8v8Am7QbjQ9ftFu9PuR8SHZkcfZkjYbo69iP1Yq+EPzo/I7zD+W2qc25 X3lu5ciw1ZV2BNSIZ6bJKAPk3UdwFWE+VvNWveVtcttb0K7ez1G1ascqdCD9pHU7MjDZlOxxV9bw /wDOUfl7Xfye8wahKy6b5vs7FoG03l9u4uB6EU9tU1eMSOGYdUpv2YqvjHFX3/8A841/l3L5L/LO 0W9i9LWNZb9I36kUdPUUCGJu/wAEQFR2Ytir1XFXYq7FXkH/ADlL55/wx+Vd5aQScdR8wN+jbcA0 IicFrl/l6QKfNhir4MAJNBuTir9HfyZ8mHyd+WmhaHKnC8jgE9+CN/rNwTLKD/qM/D5DFWa4q7FX w9/zlt5Aby9+Yv6eto+Om+ZUNzUCirdx0W4X/ZVWT5scVYb+Rn5hnyH+Y2navM5XS5z9S1YCtPq0 5AZyB19Ngsn+xxV+iSOjorowZGAKsDUEHcEEYq3irsVdir4v/wCc0tat7v8AMTS9MhYM+m6cpuKd VkuJWfgf+eYVvpxV894q+4f+cO9agvfymOnqw9fSr+4hkjr8QWbjOjEeBMjAfI4q9zxV2KuxV2Ku xV2KuxV8Af8AOUf/AJPbzN/0Y/8AdPt8VYb+XXmqHyn540XzHPA1zBpl0k8sCEKzINm4k7Vodq4q /RPyX558sec9Ei1jy7fJeWj0Eig0lhelTHNH9pHHgfmKjfFUx1rRNJ1zS7jStXtI77TrtPTuLaZQ yMvX6CDuCNwdxvir4e/Pj/nHrVfy+uX1jSPUv/KEzgJcH4pbRnNBHPQfZJ2WToehoaVVeN4q9g/5 xf8AJ3k/zJ+Y0X+Ir2JZNPAudM0iQEfXZ0Jb7RHArFx5lK1bwKhsVfeWKuxV2KuxV8F/85PfmMvn H8yJ7Wzk56P5fDWFmQaq8ob/AEmUf60g4gjqqg4qgf8AnHHyAfOX5n6dFPF6mlaSRqOo1FVKwMDH GfH1JeII/lrir9AsVdirsVee/nt+XC+fvy7vtLhWuq2n+m6S3f6zCppH8pVLJ9Ne2KvzwdHjdo5F KOhKujChBGxBBxV9uf8AOKP5qL5o8nf4Y1Gblrnl1FjQsfimsa8YX9zF/dt7cT1OKvdcVYn+Zfmn zP5Z8urqPlvy/L5l1A3CQnToS4YRsrFpPgWQ0UqB074q8X1/86/+ckryzeDRfy2uNKmdeP1qS3uL p0P8yKyxpX/WVhir5+1P8p/zs1XULjUdS8sazd3925lubma3lZ3dtyWJGKoX/lSn5uf9Shqv/SLL /TFWVfl7oH/ORXkDWG1Py55b1WFpQFu7WWzle3nRakLLHQVpU0IIYdiK4q9wtfz1/PxYVF3+U91L P+08QuYkPyRo5SP+CxV77p089xp9tcXEJt55okkmtz1jdlBZDWn2SaYqiMVdirsVdirsVeffmh+R 3kX8xITJqtsbXWFULDrNrRLgBfsrJUFZU9nG3YjFXyn5+/5xT/M7yzLJNpVuPMmmAnhPYA/WAO3O 1JMlf+MfMe+KvNtG1/zn5I1o3OmXV5oWqxjjIo5QuVr9mWNxRlr+y6kYq9Z0v/nMr82LS3EV1b6V qLhSPrE9vKkhNNifQlhTr1ooxVKNb8/fn1+czfoq2t7i705nHPT9LgaGzBrt68pJ+EH/AH9JQYqp edv+cZvzI8o+UovMd5FDeIoZtTtLNmlls0AqHkIHFlA+2UqF+W+KvKYJ57eeOe3kaGeJg8UsbFXV lNQysKEEHvir7G/5x9/5yYt/MYt/KvnOdYPMG0dhqj8UivKbBJDsEnPbs/s2xVfROKuxV5Z/zkX+ aa+QvIc31OXh5g1gPaaSAfiSq/vbj/nkrbf5RXFXwASSancnFX3h/wA4vflmfJ35fR6hexcNb8xc L26qPijg4/6NCfkjFyOzMR2xV7FirsVdirsVfFf/ADll+Uz+XPNH+MNMhpouvyE3YQUWC/I5PWna ehcf5XL2xV5D5B87av5J82WHmTSjW4snrJCSQk0TDjJC9P2XU09juNxir9GPJvm7RvN/lqx8w6PL 6tjfRh1BpyjcbPG4HR0aqnFU6xV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KoW/0rS9Rj9LULOC8j FaJcRpKu/XZwcVSyLyD5FicSReXNLjkX7LrZW4I+RCYqnccccaCONQiLsqqAAB7AYq2yqylWAZWF GU7gg9jir5Q/5yD/AOcYTbi582+Q7Wtv8U2p6DCB+7AFWltEH7PUtEOn7O2wVfLoJBqNiMVfVH/O Pv8Azk/T6t5T8/XW20Wm+YJiT1ICxXbfqlP+y/mxV9O6zrOmaNpN3q+p3C22nWUTT3Nw5+FUQVJ9 /YDrir87/wA3vzL1D8w/Ol3rlxyjsV/caVaN/um1QngDSvxtXk/+UfCmKsm/5xt/KhvPfneO6v4e flzRGS51HkPhletYbf8A2bLVv8kHxGKvvcAAUGwHQYq7FXYq7FXYqk/nDyno/m3y1f8Al7V4vVsb +IxvT7SN1SRCejxuAy+4xV+dP5h+RNa8jea7zy7qyfvrduVvOAQk8DE+nNH/AJLAfQajqMVZz/zj z+ds/wCXmvmx1N2k8qao4F9GKt9Xl2UXMajwGzgdV9wMVfeFrdW13bRXVrKk9tOiyQTxsHR0cVVl YVBBBqCMVVcVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdir5w/5yD/5xlh13615s8lQL FrZ5TajpKbJdnq0kI6LN3K9H/wBb7Sr4/ngmt5pIJ42iniYpLE4KurqaMrKdwQdiDirKdR/NTzzq Xke08k3upPNoNnL6sUTf3hVaenE8nVo4zuinp9C0VSbyx5a1jzNr9loOjwG41G/kEUEY6eLMx/ZV FBZj2Arir9Ffyx/L3SfIHk+z8vadRzEPUvbunFri5cD1JW+dKKOygDtirKsVdirsVdirsVdirzT8 9Pyb0/8AMnyz6UfC38xaeGk0i9bYVP2oJSN/Tkp/sTQ+IKr4D1fSNT0fU7nS9UtntNQs5DFc20oo 6OvUH+BGxxV7j/zjn/zkRL5Omh8q+Z5Wk8qzORa3RqzWLu1SfEwsxqw/ZO47gqvtK3uLe5gjuLaV JreZQ8U0bB0dGFVZWFQQR0IxVUxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxVxIAqdgOp xV8I/wDOUHm38vvMXnvn5UtUN1aBotX1mEgRXkwIA4qBR/ToR6v7XuFU4q8eggnuJ47eCNpZ5mWO KJAWZnY0VVA3JJO2Kvur/nHP8jY/y/0U6vrEav5t1OMC46N9UgNGFujCtWJFZGGxNB0WpVey4q7F XYq7FXYq7FXYq7FXjn5/fkDp/wCYWntq2krHaeb7SOkMxoqXaKNoZj/N/I/boduir4Z1PTNR0rUL jTtSt5LS+tXMVzbSqVdHXYhgcVeu/kZ/zkXrPkCWPRtXEmpeUnb/AHnBrNaFiSz29SAVJNWjOx6g g1qq+2fLfmbQPM2jwaxoV7FqGnXArHPEaivdWU0ZHX9pWAI7jFUzxV2KuxV2KuxV2KuxV2KuxV2K uxV2KuxV2KuxVC6pqmm6Vp8+o6ncx2dhaoZLi5mYJGijuzHbFXxv+fH/ADk5e+a1uPLflBpLLy21 Y7u+NUuLwdwO8cJ/l+0w+1QfDirwKCCa4mjggjaWeVgkUSAs7Oxoqqo3JJ2AGKvtD/nHT/nHVPKE cfmnzXCkvmaVQ1jaH4xYow3J7Gc1oSPs9Adzir3/ABV2KuxV2KuxV2KuxV2KuxV2KvLfzq/IXy9+ ZFl9aQrp3mi3TjZ6oB8LqKkQ3Cj7aeB+0vbaqlV8N+cfJXmXydrcui+YbJ7O9i3Wu8cqVoJInHwu h8R8uuKo/wDLz80POPkDVfr/AJdvTEkhH1uxlq9tcKvQSx1H0MKMOxGKvsn8qv8AnJbyN54WKxvZ F0HzC1FNhdOPSlb/AJd5yFVq/wArUbwB64q9exV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV5r+aX5/ eQ/y+ikt7q4/SWugfu9GtGDSg9vXfdYR/rfFTopxV8Z/mj+c/nT8xb7nq9x9X0uJuVppFuStvH4M R1kf/Lb6KDbFWKeX/L2t+YtWg0jRLKW/1K5bjDbQirHxJPRVHUsxAHfFX2t+RX/OOOk+Qo4tb1z0 9R82uuzgcoLMN+zByHxP/NJT2FBWqr2vFXYq7FXYq7FXYq7FXYq7FXYq7FXYqx3zz+X/AJU88aM2 k+Y7JbqDcwTD4ZoHP+7IZB8SN+B6EEbYq+Nfzb/5xj85eSmn1LSFfXvLaVf6zClbmBOv+kQrU0Ud XT4e549MVeM4q9Y/Ln/nJf8AMnyYsdo9yNc0dKAWGoFnZFHaGevqJtsAeSj+XFX0p5G/5yt/K/zI I4NTuH8uai1A0V/T6uWPXjdL8FB4ycMVewWd5Z3tulzZzx3NtKKxzwusiMPFWUkHFVbFXYq7FXYq 7FXYq7FVsssUUbSyuscaAs7sQFAHUknpiryrzv8A85NflR5WWSJNS/TeopUCz0yk4r0+KeohG/X4 yR4Yq+bfzG/5yr/MTzUstnpLjy3pMlVMVm5a5dT2e6IVh/zzC+9cVeLu7u7O7FnYksxNSSepJxV6 f+VP/OPfnj8wJIrtIjpPl4kGTV7pCFdf+XeP4WmPuKL4tir7R/Lb8p/J35e6X9T0G1/0mVQLzUpq NczkfzuAKLXoi0UeGKsxxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV5H+Zv8AzjN+X3nZpb63 i/QOuSVY39kiiORzvynt/hR6nclSrHucVfL3n/8A5xq/NDyg8syWB1vSkqVv9NDTEL4yQAeqlB1+ EqP5sVeVEEEgihGxB61xVNvL/m7zT5cn9fQdWu9LkJqxtZniDH/LVSFbp0YYq9U8vf8AOXX5v6Wq x3s9nrUa7VvbcK9P9e2MG/u1cVegaT/znCtAur+UyD+1NaXlR9EckX/G+Ksns/8AnNT8tJAoutJ1 i3cmhKxW0iAU6k+urf8AC4qjf+hyPyk/3zqv/SNF/wBVsVQt3/zml+V8QIt9N1i4cU4n0bZEPju1 xy/4XFWN6r/znDZKpXSfKksjH7Ml3drGB80jjkr/AMEMVYF5g/5zE/NfUQ6aalho0Zrwe3gM0oB8 WuGlQn/YDFXlfmbz/wCdvNDlvMGt3mpLXkIZ5mMKn/JiBEa/QuKpBir0TyD+Qf5m+djHNp2ltZ6Z JQ/pS/5W9uVP7SVBeUf8Y1bFX1B+Wv8Azij5B8rGG+1z/nZNYjowa4XjZxsN/gt6sHp4yFvGgxV7 aiIiKiKFRQAqgUAA2AAGKt4q7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FWHecvyg/Lf zjyfX9Ct7i6brfRAwXNfeaEo7U8GJGKvFvNH/OEuiTM8vljzDPZV3W1v4luFr4CWMwso+ascVeXa 7/ziR+cemFjaWlprEa787K5RTT/Vufq7V9hXFWC6n+UX5o6YW+u+VNVjVftSLaTSRj/npGrJ+OKs du9I1Wz5fW7Ke34U5+rE6Ur0ryA64qhMVRFrp2oXdPqtrLcVbiPSjZ6t4fCDvvirINM/K38ydTK/ UPK2qzq3SQWc4j38ZCoQffirNtD/AOcU/wA59UKmbS4NKibpLfXMS0+aQmaQfSuKvT/LH/OEdurJ L5o8yNIP27XTIgn3Tz8v+TWKvaPJv5F/lZ5RMculaFBJex0K394PrU4YftK0vIRn/jGFxVnuKuxV 2KuxV2KuxV2KuxV2KuxV2Kv/2Q== xmp.iid:634DC2F5CED1E111A439CE3494C89C88 xmp.did:634DC2F5CED1E111A439CE3494C89C88 uuid:5D20892493BFDB11914A8590D31508C8 proof:pdf uuid:90327db9-3ed6-4996-b9ce-c317cc666ced xmp.did:6F128433CED1E1118A18F77A9DFD6C88 uuid:5D20892493BFDB11914A8590D31508C8 proof:pdf saved xmp.iid:6F128433CED1E1118A18F77A9DFD6C88 2012-07-19T11:18:55-07:00 Adobe Illustrator CS5 / saved xmp.iid:634DC2F5CED1E111A439CE3494C89C88 2012-07-19T11:24:21-07:00 Adobe Illustrator CS5 / Print False False 1 6.883050 0.889703 Inches Cyan Magenta Yellow Black Default Swatch Group 0 White RGB PROCESS 255 255 255 Black RGB PROCESS 35 31 32 CMYK Red RGB PROCESS 237 28 36 CMYK Yellow RGB PROCESS 255 242 0 CMYK Green RGB PROCESS 0 166 81 CMYK Cyan RGB PROCESS 0 174 239 CMYK Blue RGB PROCESS 46 49 146 CMYK Magenta RGB PROCESS 236 0 140 C=15 M=100 Y=90 K=10 RGB PROCESS 190 30 45 C=0 M=90 Y=85 K=0 RGB PROCESS 239 65 54 C=0 M=80 Y=95 K=0 RGB PROCESS 241 90 41 C=0 M=50 Y=100 K=0 RGB PROCESS 247 148 30 C=0 M=35 Y=85 K=0 RGB PROCESS 251 176 64 C=5 M=0 Y=90 K=0 RGB PROCESS 249 237 50 C=20 M=0 Y=100 K=0 RGB PROCESS 215 223 35 C=50 M=0 Y=100 K=0 RGB PROCESS 141 198 63 C=75 M=0 Y=100 K=0 RGB PROCESS 57 181 74 C=85 M=10 Y=100 K=10 RGB PROCESS 0 148 68 C=90 M=30 Y=95 K=30 RGB PROCESS 0 104 56 C=75 M=0 Y=75 K=0 RGB PROCESS 43 182 115 C=80 M=10 Y=45 K=0 RGB PROCESS 0 167 157 C=70 M=15 Y=0 K=0 RGB PROCESS 39 170 225 C=85 M=50 Y=0 K=0 RGB PROCESS 28 117 188 C=100 M=95 Y=5 K=0 RGB PROCESS 43 57 144 C=100 M=100 Y=25 K=25 RGB PROCESS 38 34 98 C=75 M=100 Y=0 K=0 RGB PROCESS 102 45 145 C=50 M=100 Y=0 K=0 RGB PROCESS 146 39 143 C=35 M=100 Y=35 K=10 RGB PROCESS 158 31 99 C=10 M=100 Y=50 K=0 RGB PROCESS 218 28 92 C=0 M=95 Y=20 K=0 RGB PROCESS 238 42 123 C=25 M=25 Y=40 K=0 RGB PROCESS 194 181 155 C=40 M=45 Y=50 K=5 RGB PROCESS 155 133 121 C=50 M=50 Y=60 K=25 RGB PROCESS 114 102 88 C=55 M=60 Y=65 K=40 RGB PROCESS 89 74 66 C=25 M=40 Y=65 K=0 RGB PROCESS 196 154 108 C=30 M=50 Y=75 K=10 RGB PROCESS 169 124 80 C=35 M=60 Y=80 K=25 RGB PROCESS 139 94 60 C=40 M=65 Y=90 K=35 RGB PROCESS 117 76 41 C=40 M=70 Y=100 K=50 RGB PROCESS 96 57 19 C=50 M=70 Y=80 K=70 RGB PROCESS 60 36 21 Grays 1 C=0 M=0 Y=0 K=100 RGB PROCESS 35 31 32 C=0 M=0 Y=0 K=90 RGB PROCESS 65 64 66 C=0 M=0 Y=0 K=80 RGB PROCESS 88 89 91 C=0 M=0 Y=0 K=70 RGB PROCESS 109 110 113 C=0 M=0 Y=0 K=60 RGB PROCESS 128 130 133 C=0 M=0 Y=0 K=50 RGB PROCESS 147 149 152 C=0 M=0 Y=0 K=40 RGB PROCESS 167 169 172 C=0 M=0 Y=0 K=30 RGB PROCESS 188 190 192 C=0 M=0 Y=0 K=20 RGB PROCESS 209 211 212 C=0 M=0 Y=0 K=10 RGB PROCESS 230 231 232 C=0 M=0 Y=0 K=5 RGB PROCESS 241 242 242 Brights 1 C=0 M=100 Y=100 K=0 RGB PROCESS 237 28 36 C=0 M=75 Y=100 K=0 RGB PROCESS 242 101 34 C=0 M=10 Y=95 K=0 RGB PROCESS 255 222 23 C=85 M=10 Y=100 K=0 RGB PROCESS 0 161 75 C=100 M=90 Y=0 K=0 RGB PROCESS 33 64 154 C=60 M=90 Y=0 K=0 RGB PROCESS 127 63 152 Adobe PDF library 9.90 endstream endobj 766 0 obj << /Length 38882 /Subtype /XML /Type /Metadata >> stream application/postscript Crossed-Out Wheeled Bin Forst From DIRECTIVE 2002/96/EC OF THE EUROPEAN PARLIAMENT AND OF THE COUNCIL of 27 January 2003 on waste electrical and electronic equipment (WEEE) Typical Use: Board user guides in the Warranty section where Board disposal is discussed. Adobe Illustrator CS5 2012-02-15T16:24:01-08:00 2012-02-15T16:24:01-08:00 2012-02-15T16:24:01-08:00 256 184 JPEG /9j/4AAQSkZJRgABAgEASABIAAD/7QAsUGhvdG9zaG9wIDMuMAA4QklNA+0AAAAAABAASAAAAAEA AQBIAAAAAQAB/+4ADkFkb2JlAGTAAAAAAf/bAIQABgQEBAUEBgUFBgkGBQYJCwgGBggLDAoKCwoK DBAMDAwMDAwQDA4PEA8ODBMTFBQTExwbGxscHx8fHx8fHx8fHwEHBwcNDA0YEBAYGhURFRofHx8f Hx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8f/8AAEQgAuAEAAwER AAIRAQMRAf/EAaIAAAAHAQEBAQEAAAAAAAAAAAQFAwIGAQAHCAkKCwEAAgIDAQEBAQEAAAAAAAAA AQACAwQFBgcICQoLEAACAQMDAgQCBgcDBAIGAnMBAgMRBAAFIRIxQVEGE2EicYEUMpGhBxWxQiPB UtHhMxZi8CRygvElQzRTkqKyY3PCNUQnk6OzNhdUZHTD0uIIJoMJChgZhJRFRqS0VtNVKBry4/PE 1OT0ZXWFlaW1xdXl9WZ2hpamtsbW5vY3R1dnd4eXp7fH1+f3OEhYaHiImKi4yNjo+Ck5SVlpeYmZ qbnJ2en5KjpKWmp6ipqqusra6voRAAICAQIDBQUEBQYECAMDbQEAAhEDBCESMUEFURNhIgZxgZEy obHwFMHR4SNCFVJicvEzJDRDghaSUyWiY7LCB3PSNeJEgxdUkwgJChgZJjZFGidkdFU38qOzwygp 0+PzhJSktMTU5PRldYWVpbXF1eX1RlZmdoaWprbG1ub2R1dnd4eXp7fH1+f3OEhYaHiImKi4yNjo +DlJWWl5iZmpucnZ6fkqOkpaanqKmqq6ytrq+v/aAAwDAQACEQMRAD8A9U4q7FXYq7FXYq7FVC+v 7GwtZLy+uIrS0hUtNcTuscaKOpZ2IUD54q8Z86/85b/lfoDSW2lNN5jvUqKWYCWwYdmuJKAj3jVx irxTzP8A85lfmZqJdNEtbLQoD9h1j+tXA+bzViP/ACKxV5trP5y/mrrJb6/5q1Jlb7UUNw9vGfnH AY0/DFWK3eo6hetyvLqW5ateU0jSGvT9onFUNir3n/nDaef/AJWtLB6jeiNLuWEXI8a+pDvx6Yq+ 28VdirsVdirsVdirsVdirsVdirsVdirsVYR55/Oj8t/JPOPXdZiW+Uf8c23/AH90fYxx14fN+IxV 4P5r/wCc3LpmaLyl5dSNf2LvVJC7Ef8AMPAVC/8AI04q8o1//nJP85taZvU8xS2MLdIbBI7UL8nj US/e+KsI1Hzh5t1N+epa3f3r1ryuLqaU133q7N4nFUoxVfFNNC4khdo5B0dCVO/uMVfqjirsVdir sVcSFBJNANyT0AxV4H+a/wDzln5W8stNpflNI/MGtJVHuQx+oQOKjd13mIPZCF/y67Yq+T/PH5m+ d/PF59Z8yapLeKrFobQH07aL/jHCtEG21aVPcnFWL4q7FXYq7FXYq91/5w2/8m5N/wBsq5/5Ow4q +38VdirsVdirsVdirsVdirsVdirsVYj+Yn5qeS/y/wBN+ueYb0RyyAm10+Kkl1OR/vuOo2/ymIUd zir5D/M7/nKfz95taWy0aRvLmiMSBFaORdSL0/e3A4sK/wAsfEdjyxV4u7u7s7sWdiSzE1JJ6knF VuKuxV2KuxV2Kv1UxV2KuxVL9f1/RvL+kXOsazdx2Om2iF57iU0UAdAO7MeiqNydhir4o/O3/nJb zB53kuNG0FpNJ8qVKMinjcXa9Kzsp+FD/vsGn81eyrxLFXYq7FXYq7FXYq7FXuv/ADht/wCTcm/7 ZVz/AMnYcVfb+KuxV2KuxV2KuxV2KuxV2KuxV4V+e3/OS+meSfX8v+WvT1HzVQpPKfit7I9/Up9u Udo+g/a/lKr4w13XtZ1/VZ9W1m8lv9RuW5TXMzcmJ7DwAHQKNgOmKpfirsVdirsVdirsVdir9VMV diqX6/r+keX9Gu9Z1i5S002xjMtxO5oAo7Ad2Y7Ko3J2GKvgj87vzu1r8ytaoOdn5as3P6M0yvXt 689NmlYfQo2HclV5lirsVdirsVR+jaDret3gstG0+41K8bcW9rE8z06V4oGNPfFXrflv/nEb83dX RJb2C00SFt/9OnDScfH07cTEH2amKs/07/nBxynLUfN4V6f3dvY8gDtvzeda9/2cVTR/+cIPLZjI TzReCWmzG3iK1/1eQP44qyj8oP8AnGkflv50fzDD5g/ScD2ktp9Ve19B1MjRsG5iWQN9g/sjFXt+ KuxV2KuxV2KuxV2KuxV2Kvnf/nJT/nIc+WUm8n+UrmnmNwBqeoR7/U42FfTjP+/2BG/7A/yvsqvj WSSSWRpJGLyOSzuxJYsTUkk9ScVW4q7FXYq2ASaDcnFXoPlL8gvzZ80ok2naBPDZvQreXtLSIqf2 l9Yqzj/UU4q9R0b/AJwi82TKp1nzHY2JP2ltYpbsj/gza4qye2/5we0FQfrPmq6lO1DHaxxivf7U kmKqF7/zg5p7BjZebpYj+wJrJZB06ErNH370xV9Q4q4kKCSaAbknoBir4Y/5yW/O2Tzv5gbQdGuD /hTSZCqMh+G7uFqrTmnVF3Eft8XfZV4lirsVdiqL0rStT1bUINO0u1lvb+5YJBbQIZJHY9gq1OKv qP8AKz/nDiFY4dT/ADDuC8hoy6DaPRV70uLhdyfFYj/szir6U8v+WfL3l2wXT9C06302zX/dNtGs YJ8W4irN7nfFUyxV2KuxV2KuxV2KuxV2KuxV2KuxV2KvJf8AnIf85ovy78sC2091bzTqysmmx9fR To9y48F6ID1bxAbFXwTcXE9zcS3NxI01xM7STSuSzu7mrMzHckk1JxVTxV2KuxV6v+Un/OOnnT8w fT1Bh+h/LbHfVbhSTKAaH6tFVTJ/rVC+9dsVfXf5efkP+W/kVI5dN01bvVUoTq18FnueXjGSAsX/ ADzUe9cVehYq7FXYq7FXYq8D/wCcs/zYbyz5WTylpU3DWtfjb606GjQWFeLnboZjVB/k8+9MVfE+ KuxV2Kp95I8keYvOnmK20HQbYz3s5q7mojhjBHKWVqHii13P0CpIGKvvT8ovyU8rfltpYSyQXmuT rS/1iRQJZK7lIxv6cYP7I696nFXoWKuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KoDzBrum6Bol9rWpy +jp+nQvcXMnUhIxUhR3Y9AO5xV+cH5jee9V88+cNQ8x6iSr3T0trepKwW6bRRL/qr18TU98VYzir sVdir6f/AOcef+cY49RhtvN3nu1P1J+Mul6HKKesvVZrlevA9Vj/AGv2vh2Kr6ziiiiiSKJFjijU LHGoCqqqKAADYADFV2KuxV2KuxV2KqF/fWthY3F9eSLDaWkTz3EzGipHGpZ2J8Aorir82vzN88Xn njzvqnmS55Kt5KRaQsf7q2j+GGPw2QCtOpqe+KsXxV2KovStK1DVtTtdL06Brm/vZUgtoEFWeSQ8 VA+k4q/Qf8lPyi0v8tvKyWSBZ9cvAsmsX439SUDaNCdxHHWi+PXqcVehYq7FXYq7FXYq7FXYq7FX Yq7FXYq7FXYq7FXy7/zmh+Yjw2mneQ7KWhuqahq4U/7rRiLeI+zOrOR/krir5NxV2KuxV9F/84sf kbH5ivF87+Y7fnoljJTSrOVardXEZ3lYH7UUR28Gbb9kgqvsnFXYq7FXYq7FXYq7FXiX/OW/nVtA /K9tKtpOF75jmFmKGjC2QepcMPYgLGfZ8VfDGKuxV2Kvq7/nDj8rI1huPzD1OGsjl7TQVYfZUfDc XAr3JrEp/wBfxxV9TYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FVssscUTyysEjjUs7saAKBUkn2 xV+af5leb5vOHnvWvMcjEpf3LtbA1BW3T93Au/8ALEqjFWM4q7FWU/ln5Ev/AD15103y3aclW6kD Xlwor6NsnxTS77fCv2a9WoO+Kv0f0XR9O0XSbTSdMgW3sLGJILaFeiogoPmfE98VRmKuxV2KuxV2 KuxV2Kvif/nMrzOdR/My10RHrBoVlGrp4XF1++c/TEYsVeB4q7FUfoOjXmt63p+jWQ5XmpXEVrbg 9OczhFrTtVt8Vfpn5Z8v2Hl3y9p2haevGz023jtofEiNQvI/5THc++KplirsVdirsVdirsVdirsV dirsVdirsVdirsVec/8AOQ/mZvLv5P8AmK6jfhc3cA0+3psS14whbifFY3ZvoxV+eOKuxV2Kvr// AJwt8ix2nl7VPOdzH/pWpSmxsHYbi2gIMrKfCSX4T/qYq+lcVdirsVdirsVdirsVdir83vzl1k6z +avmq/5clbUriGJvGO3cwRn/AICMYqwzFXYq9q/5xG8tpq/5uwXsqcodEtJ77f7PqMBbx19wZuQ+ WKvurFXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXzf/wA5uawYfJ/l7Rw1Pr1/JcsoNKraw8On cVuRir47xV2KuxV9jflv/wA5Jfkz5T8h6F5d+sXnq6daRx3JS1bibgjnOy79GlZjirJP+hvfyb/5 ab7/AKRG/rirv+hvfyb/AOWm+/6RG/rirv8Aob38m/8Alpvv+kRv64q7/ob38m/+Wm+/6RG/rirv +hvfyb/5ab7/AKRG/riqfeSP+ciPy386eYoPL+hzXT6jcrI8SzW7RpSJC7VYn+VcVemYq7FX5aaj dte6hdXjV5XMskzV61kYt2+eKobFXYq+qf8AnBzTkL+b9RanNRY28fiA3ru9du/Fe+KvqvFXYq7F XYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXyL/znBdu2v+VbMn4IbS5mC16GWRFJp/zyGKvmXFXYq7FX Yq7FXYq7FXYq7FXsH/OJ/wD5O3R/+MF5/wBQ0mKvvTFXYq/KvFXYq7FX17/zg+8R8t+aEBHqreW7 MO/ExMF/EHFX0virsVdirsVdirsVdirsVdirsVdirsVdirsVdir42/5zaVv8e6C1DxOlUDdiRcS1 H44q+dMVdirsVdirsVdirsVdirsVewf84n/+Tt0f/jBef9Q0mKvvTFXYq/LjWbE2GsX1iy8WtLiW ArvsY3K0337YqgsVdir6j/5wd1RUv/NmlMfjmis7qJajpE0schp/z1TFX1jirsVdirsVdirsVdir sVdirsVdirsVdirsVdir5J/5zisymseUr39ma3vIR0pWF4m/5nYq+YcVdirsVdirsVdirsVdirsV ewf84n/+Tt0f/jBef9Q0mKvvTFXYq/OX889EbRvzd812RXirahLdRrSgCXdLlae3GXFWC4q7FXr3 /OK3mdNC/OLTYpX4W+sxTaZIx6cpQJIh/spokX6cVfe2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2Ku xV2Kvnj/AJzW0VrnyBo+rIvI6dqPpSU7R3MTVb/g4kH04q+MsVdirsVfd35Pfl/+U3mv8svL2uS+ VNKmup7RI72Q20RZrmCsMzHb9qSMnFWY/wDKlPyj/wCpQ0r/AKRYv6Yq7/lSn5R/9ShpX/SLF/TF Xf8AKlPyj/6lDSv+kWL+mKu/5Up+Uf8A1KGlf9IsX9MVd/ypT8o/+pQ0r/pFi/piqP0T8svy90HU Y9S0by7YafqEQZY7q3gSORQ4KsAyiu4NMVZNirsVfGf/ADml5X+o+etK8wxJxh1iz9GVh3uLNuJJ /wCeUkY+jFXzvirsVRGnX93p2oW2oWchiu7OVLi3lHVZImDow+TDFX6W+QvN9j5w8naT5ksiPS1G 3WSRF39OYfDNEfeORWX6MVT/ABV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KsF/PLyufM35UeZNLj XncC0a6tVAqTNaEXCKvu5j4/Tir85cVdirsVfVn/ADhX59Thq/ke7ko/L9J6WGPUELHcRivhRHAH +UcVfVGKuxV2KuxV2KuxV2KuxV5H/wA5ReSG80flRfzW8fO/0JhqdvTqUiUi4X/kSzNTxUYq+B8V dirsVfSP/OH/AOa0ek6xN5E1WYJY6tJ6+kSOdkvKANDU9BMqjj/lCnVsVfYeKuxV2KuxV2KuxV2K uxV2KuxV2KuxV2KuxVxAIodweoxV+cH5y+SG8l/mRrWhrHwsknM+neBtZ/3kIB2rwVuB9wcVYVir sVTnyd5q1Tyn5n07zFpb8b3TpllQH7Lr0eNv8mRCVb2OKv0i8m+bNI83eWdP8xaTJ6llqEQkUEjk jdHien7cbgq3uMVTnFXYq7FXYq7FXYq7FVskccsbRyKHjcFXRgCrKRQgg9QcVfnP+dP5eT+QvzB1 LReBXTpG+taTIej2kxJjFT1MZBjb3U4qwXFXYqvhmlhlSaF2jljYPHIhKsrKahlI3BBxV93f848f npafmBoaaVq0yReb9PjpdRGi/WolAAuYxsK/78UdDvsCMVex4q7FXYq7FXYq7FXYq7FXYq7FXYq7 FXYq7FXzt/zmL+XB1fyva+c7GPlfaF+5vwoqWspW2b/nlK1fkzHtir40xV2KuxV7N/zjh+eDfl/r jaTrMjN5T1WQG5O7G1nICrcKB1UgBZAO1CN1oVX3XBPBcQRzwSLLBKoeKVCGR0YVVlYbEEbgjFV+ KuxV2KuxV2KuxV2KvIf+clPylbz55KN1psXPzHoYe408KPimjIrNb+5cKCn+UAO5xV8FEEEgihGx B61xVrFXYqjtF1vVtD1S21XSLuSx1G0f1Le6hYq6t0+kEbEHYjY7Yq+3PyO/5yR0Lz1DBouuNHpn m4AKIieMF4QPtW5PR/GI7/y13oq9qxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxVRvbK1vrOeyvIlnt LqN4biBxVXjkUq6MPBlNDir87Pzn/LC9/LvzvdaO4aTTJq3Gj3Tf7stnJ4gn+eP7D+4r0IxVgmKu xV2KvdPyD/5yRv8AyO0Pl7zIZL3ymzUhkFXmsSx3MY6vF/NH26r4FV9p6Lreka3plvqmkXcV9p10 vOC5gYOjD5juDsQdwdjiqNxV2KuxV2KuxV2KuxV8ff8AOVX5GvpN/N598u2/+4m8flrdrEv+89w5 3uAB0jlP2v5X9m2VfNmKuxV2KtqzKwZSVZTVWGxBGKvfPyr/AOctvNnlpINL81xv5g0eOiLdcgL+ JR/xYx4zAeEnxf5fbFX1F5G/OX8uPOyRjQ9Zha9frptwfQuwfD0noXp4pyHvirNcVdirsVdirsVd irsVdirsVdirsVdirAfzo/KjTvzI8oSaXIUg1a15T6PfMP7qem6MRv6ctOL09jQlRir899b0XVND 1a70jVrdrTUbGRobm3f7SuvyqCO4I2I3GKoHFXYq7FWY/l1+bPnb8v783Pl6+K28jBrrTZ6yWs1N vjjqKH/KUhvfFX1j+Xn/ADlt+XvmJIrXzCT5a1VqKfrB52bnxW4AHD/noFA8Tir2yzvbO+to7qyn jurWUcop4XWSNh4qykg4qrYq7FXYq7FXYqpXVrbXdtLa3UST206NHPBIodHRxRlZTUEEGhBxV8Qf 85B/8486h5HvZ/MPl+FrnyfO/JgvxPYM7bRSftGKpokn+xbehZV4firsVdirsVbBIIINCNwR1rir OPLP54fmx5bVI9K8zXggj2S2uWF3Eq/yrHciVVH+rTFWf6b/AM5l/mxaqq3VtpV+BTk81vKjnx3h ljUH/Y4qmX/Q7Xn/AP6sWlfdc/8AVXFWd/kF/wA5D+evzE/MB9E1q30+2sEsJrkLZQyoxkjeNVq0 ssxpRzir6PxV2KuxV2KuxV2KuxV2KuxV47/zkB+Qll+Ymm/pXSglt5usY+NtKaKl1GtSIJj2P8j9 uh26KvhjVdK1PSNRuNN1O1ks7+1cx3FrMpSRGHZlOKoTFXYq7FXYqnHl7zf5q8uTetoOr3mluSGf 6rNJErEfzqpCt/shir0vRf8AnLH859NRUn1G21RFpQXtrGTQdi0HoMfpNcVZJF/zmz+YoQCbRNIe TuyJcoPuM7/rxVSvP+c1PzMlXjbaVo9vXq5iuZGrXtWcL94xV9pYq7FXYqp3Fvb3MElvcxJNbzKU mhkUOjowoyspqCCOoOKvkz87/wDnE+6s3n8w/l7C1xZGsl15fBLSxdy1qTvIv/FZ+Ifs8q0Cr5ll ilileKVGjljYrJGwKsrKaEEHcEHFVmKuxV2KuxV2KuxV7r/zht/5Nyb/ALZVz/ydhxV9v4q7FXYq 7FXYq7FXYq7FXYq7FXmX5y/kR5Y/Mmx9dwuneZIEK2erxqCSB0iuFFPUj8O69u4Kr4f8+/lx5u8i audM8xWTW7mv1e6Sr286j9qGWgDDxHUdwMVYxirsVdirsVdirsVdir9VMVdirsVdirsVeZfmn/zj 75D/ADBV7u5h/RmvEfBrFooEjGm3rx7LMP8AW+KmwYYq+SvzF/5xy/MryU8k72J1jR1qRqenK0qq o3rNEB6kVB1JHH/KOKvLcVdirsVdirsVe6/84bf+Tcm/7ZVz/wAnYcVfb+KuxV2KuxV2KuxV2Kux V2KuxV2KpZ5j8seX/MulS6Tr1hDqOnzfbgmWoqOjKRRkYdmUgjFXy1+Zn/OGup2zTah5Buxe2+7f oW8YJOv+TDOaRyewfjt+0xxV85a3oOt6Ffvp+s2E+nX0f27e5jaJ6VpUBgKg9iNjiqAxV2KuxV2K uxV+qmKuxV2KuxV2KuxV2KsC87fkX+V/nJnm1fRIo799zqFn/o1wW/mZo6CQ/wDGQNirxTzP/wA4 RIXeTyv5kKr+xa6nFUj5zwU/5NYq821n/nEz86NOZvq+nW2qovWSyuogCB3C3Bt3P/A1xVit5+Rv 5v2hYS+UdTYqCT6MDTDbwMXOv0Yqgf8AlU/5p/8AUm65/wBw28/6p4q9v/5xV/LXz95e/MqbU9d0 G90yw/R08P1i6iaJTI8kRVRypWoU4q+usVdirsVdirsVdirsVdirsVdirsVdirsVSvzD5X8ueY7I 2OvaZbana70iuolkCk90LCqn3XfFXivmz/nDT8utUZ5tAvbvQJ2+zED9ctl/55ylZf8AktiryfXv +cMvzLsmZtJvtP1aEV4KJHtpjTxSRfTFf+MmKsJ1H/nHT86tPLCbytcyBamts8NzUDw9GSTFUlm/ KH81on4P5O1onrVNPuXH3ojDFVS1/Jn82bkgR+T9XWrBf3tnPFuf+Mirt79MVf/Z xmp.did:2A9183491258E111A615919AC373F140 xmp.iid:2A9183491258E111A615919AC373F140 uuid:7D49573A0D65DF11962FCF6E7472D1A2 proof:pdf xmp.iid:416CF8195A57E1119C78D89667FF8191 xmp.did:416CF8195A57E1119C78D89667FF8191 uuid:7D49573A0D65DF11962FCF6E7472D1A2 proof:pdf converted from application/postscript to application/vnd.adobe.illustrator saved xmp.iid:8D9CCF07E495E0119287DAB1C0A7FCAA 2011-06-13T10:39:03-07:00 Adobe Illustrator CS5 / saved xmp.iid:8E9CCF07E495E0119287DAB1C0A7FCAA 2011-06-13T10:55:39-07:00 Adobe Illustrator CS5 / converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator saved xmp.iid:7B8990A77E98E011B0EC8C1026BCB8D6 2011-06-16T18:10:56-07:00 Adobe Illustrator CS5 / converted from application/postscript to application/vnd.adobe.illustrator saved xmp.iid:406CF8195A57E1119C78D89667FF8191 2012-02-14T14:20:29-08:00 Adobe Illustrator CS5 / saved xmp.iid:416CF8195A57E1119C78D89667FF8191 2012-02-14T14:20:46-08:00 Adobe Illustrator CS5 / converted from application/postscript to application/vnd.adobe.illustrator saved xmp.iid:2A9183491258E111A615919AC373F140 2012-02-15T16:24:01-08:00 Adobe Illustrator CS5 / converted from application/postscript to application/vnd.adobe.illustrator converted from application/postscript to application/vnd.adobe.illustrator 1 False False 5.332994 0.799995 Inches Cyan Magenta Yellow Black Default Swatch Group 0 White RGB PROCESS 255 255 255 Black RGB PROCESS 0 0 0 Charcoal RGB PROCESS 63 63 63 Graphite RGB PROCESS 102 102 102 Ash RGB PROCESS 140 140 140 Smoke RGB PROCESS 178 178 178 Latte RGB PROCESS 228 188 150 Capuccino RGB PROCESS 213 151 88 Mochaccino RGB PROCESS 139 92 41 Chocolate RGB PROCESS 90 61 28 Mars Red RGB PROCESS 143 0 0 Ruby RGB PROCESS 191 0 0 Pure Red RGB PROCESS 255 0 0 Pumpkin RGB PROCESS 255 64 0 Squash RGB PROCESS 255 127 0 Sunshine RGB PROCESS 255 191 0 Yellow RGB PROCESS 255 255 0 Chartreuse Green RGB PROCESS 204 255 0 Fresh Grass Green RGB PROCESS 125 255 0 Pure Green RGB PROCESS 0 255 0 Spearmint RGB PROCESS 0 163 61 Holly Green RGB PROCESS 0 107 51 Sea Green RGB PROCESS 1 83 83 Caribbean Blue RGB PROCESS 4 115 145 Mediterranean Blue RGB PROCESS 0 160 198 Aloha Blue RGB PROCESS 0 96 182 Black Light Blue RGB PROCESS 0 60 255 Pure Blue RGB PROCESS 0 0 255 Sapphire Blue RGB PROCESS 34 16 210 Tanzanite RGB PROCESS 66 16 210 Brilliant Purple RGB PROCESS 93 16 210 Violet RGB PROCESS 130 16 210 Purple Orchid RGB PROCESS 171 16 210 Fuschia RGB PROCESS 208 16 177 Global Pure Red PROCESS 100.000000 RGB 255 0 0 Global Squash PROCESS 100.000000 RGB 255 126 0 Global Yellow PROCESS 100.000000 RGB 255 255 0 Global Pure Green PROCESS 100.000000 RGB 0 255 0 Global Mediterranean Blue PROCESS 100.000000 RGB 0 160 198 Global Pure Blue PROCESS 100.000000 RGB 0 0 255 Adobe PDF library 9.90 endstream endobj 767 0 obj << /Filter /FlateDecode /Length 4666 /Subtype /Type1C >> stream hބX XWfdl;.X ("nŭh*"j( jUu߱hP0"bFQį %yϹنQ8S0 >jhщog&f̋N @:a0.5ׁlׅ]zXVc²)9ip=?({`w;}XB.=&'=#19=ba|JZjJZ\FbB_waoަ!=-1=1-t8临)Id&07%}]X>/a^\ڼ?+ S(c;EGEWC*)) EBO~P8(xE_E7f 3Ia3S;vv&egeaV`\s&8ߓB;q~Gs4i#:,Pasb熎C:tQEV]\|\V|ݩCN:\7VԡeVa0Y(oTFafPQEEgE5l e 7~+ SW I|mJt/$b\GRl6 p}yv tmӨhUWĞ B( nޚS>u;vUjvU620+}"7 a@B H+?AY Ƌ 40~v?)/ 4"{Eb[e[7}ԸU tm%"9yJ8 wDeo۷k`!cQr'>k#Oj~ٸcM \16`SlīU_-8fqlV;~k:+pa.RxbgݛTd|{F -b?PMȶ~![ktmkw^Khdd$0mȪcf$E&[MV_ ^]cH 5<"B'PI0!#޶7D,TK;dK<Y or B 3)cB?xR rC#ő Û~2PGVL=Sg!:78*H<-e2=,RvLPFf$!2!*M~s-Ƽ[hx]fg5Z\SqD:tv9fo]}+3ޠLF8ZH $ mlo L,V]o;u2,⎶m`qav[Se +B, HYo>tRv=zglui=XPsx pE 1 폡)hu~ţI=k%Y,:r8?1*>ħB{ނ0 Ob8DmTQ>!X8CCY>{Gi1#\V8ENm{oi;˼ ]2BI*Us+&n _Yl ZPNwM\ki#fڡlYz_4j0S[!N >Sq::GzFKK4oNHN];ZEJ'x-8p#Ȁ쨒4Wk7ek Vk5COlaoC>rǴM_tm>gn~_W] (lčP9a^6g]JrJBv| l$JXkϮdۓ[)K߷H)9 NYtv_6/*y|iI|Y/γ=jjz\p,>bt%| ;E;b$vȶ7?W;YPr}S `W S3Y-$n62ۋɶTSʥ39/^(_UyUM0^ B{W>2FIf)mp8 +;26gmKtk/(⃳3hZxa4O*XQP5V1Tpxs%\DlVCǿڑ}5hv DPO"Je0)H; ~)@ c%({D(hQh;E 0ԂHdl|FB/?errB:dɠ5 _.=;n rk tZ}/s{LS 0[[ endstream endobj 768 0 obj << /Ascent 718 /CapHeight 718 /CharSet (/space/w/period/x/i/l/n/c/o/m/U/G/nine/three/two/parenleft/v/parenright/O/t/b/e/r/one/zero/comma/four\ /T/h/f/a/d/s/y/u/quotedblleft/M/quotedblright/p/X/colon/quotedbl/A/S/I/D/C/L/W/R/N/E/P/Y/B/F/H/hyphen\ /semicolon/g/k/q/j/quoteright/slash/numbersign/Q/V/J/K/copyright/endash/Z/underscore/five/six/seven/e\ ight/z) /Descent -207 /Flags 32 /FontBBox [-166 -225 1000 931] /FontFile3 767 0 R /FontName /DIEGOH+Helvetica /ItalicAngle 0 /StemH 88 /StemV 88 /Type /FontDescriptor /XHeight 523 >> endobj 769 0 obj << /BaseFont /DIEGOH+Helvetica /Encoding /WinAnsiEncoding /FirstChar 32 /FontDescriptor 768 0 R /LastChar 169 /Subtype /Type1 /Type /Font /Widths [278 0 355 556 0 0 0 0 333 333 0 0 278 333 278 278 556 556 556 556 556 556 556 556 556 556 278 278 0 0 0 0 0 667 667 722 722 667 611 778 722 278 500 667 556 833 722 778 667 778 722 667 611 722 667 944 667 667 611 0 0 0 0 556 0 556 556 500 556 556 278 556 556 222 222 500 222 833 556 556 556 556 333 500 278 556 500 722 500 500 500 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 222 333 333 0 556 0 0 0 0 0 0 0 0 0 278 0 0 0 0 0 0 0 0 737] >> endobj 770 0 obj << /Filter /FlateDecode /Length 8313 /Subtype /Type1C >> stream h޴zxWֶ<3&1x`IɌ&@BMbChe\d]#k܋\{6 YBI b$,9ޏo%$|?J""X5+ݰu;0ߠi]L+83%|,6 /n)!w]T >zּoO5sL뼙7?7:zG&uhg` ]]aӭXo4I+B1TkPkW] V{ k1#x?4-ugߨ+ FEE/DKDe2H,DT$܉D(St^^ӅK_\l11 < x6c׾: {U۝4d'zܰ;[YrV1xhuUh,zL 䜵_:"oSF|w?\0ڧ `B!djܲ.]uߖ#j0何h3?t0M7:+K7.?k6ShMʩb~ޮ m[e[-GtD@l4^үw9~%(dj ,x3Ht#cR24IfDj@MsW9&[ٱb(ZAgL9h k;y^//RMG٣7 BzOR=$/o+3&i@#䗫,a"8&oS(\wiJsGjx&$2"y«C`{M啁dDeKjug1#-ǫ#tNӶu_c曡4M,\Sh4/S@BX>L˭UF%`w ުww2-@L$`aDkokc-lp3 po:N6-l+D-f'r4\rB^i+d }N0C~6˩*φ>y[az^S-W7J1_!`׾7E /o$?@U5]&[,Z4reHWz~g!xH J)ï|PXyQn+0WL :wٲkr怼tS*E!8x'G 4bvw@zosG Gy eZ#jնj _dC5tJB6ZrfM#~4`f} q1I yGf"=W1ВTdiD}\Ukc;۹.xV]&KWs G$GN_ѩ0Z&N^+їuNgh߲ +p>8&THjtiO( 4Df+y_9_5=c߉~So7O aj<s~TBC)C.kUg&[w[ }sg#RtiZ1j  !d! DEo HN@)h (V B?k ,$3 2jM'\nz7 @dMpy>6Bx/ mHvg&/̬fk+SS$7ԠU.^sV !nz}<gB(/(  A{0dD{ <h/ ±>|WD;5KIP)vEd2Ř.3[8q}o+s r_MQ8 e*?~DױF}WUYŖ Gpj.dW }B$WWe@`FI$FШUabx8 <dTOF諹SgoRs>foOHMHEG!铴*'4"TP'w}CƕQupYwx*`?LX{~]eH&:\[gۣ9#x HhČҞ.XK EuŵNvH_Ȏ?bUP' ץ?t5&B9x[:o۝s=f.kLSj&߹I sTC]G~мBy]h*X ?#̪o 7 "kpfS:ыhrka9̽RXH#gIQuA0gSӄkm燇g3X`oT?bީL2Ygoȭ/}j 5G#!#Py kn]CV9L^mj< ('o&5i)A;dMXfNnf*5ɎqMM)~Hd M ٷ̱zs9G ]N^y,/" 2O1QHïy -ݰyeI/(, ZnPG"e 1qʅgfew?c+h s[DZ_>E34۲jpEl $o3&:7.qP@,K+Ќ `!/Q9U>g-\ѝJ'=q/{'|.#Li_ oWzȽN22 xiDZV7pl>Faihaސ1 Fód0` r4;Ut-]7͋~>kڠ9&?[~·ᅡ)RZ=U݊CV6RL.Ri1k((Zxk':6leaL>{t1OوNCS75kۇ.,Qnfo^UIܤkj, ,bmh/ ZG3*Cсgf dc:OȫqyLnm0`jlNђh~z?JIBTh'KYwozѡlSቧ e`V}sS&ϐ}˞N|8Jm絇i˦k59PI͈z5rkQs\v.Mk1SgXS]V3|[+)-6 nI!.=&Иm*Ε2*㰘dko?"@^3Ms~~]Ta T}MkCnTbvՖ-I~"C {dt2X] 5'taZiz5 `=MW&z&{]F)C- ֬Kw漅ƽvòrZUD% I p>@*W%2aQnNh >ϡ˛ x?kN0R4^0/Ո<ҷk;H35TIF2o:bCߝ)'и3^Gq :㉁Lr̈k2di:c& fѾJ Wń??e0[U٨X,7$ͯ>iYј7&7oZkEHѡeQ}wv]ޒB]}rytR,)6RpO1%򎢗S;?/G{kdJ}HV &XDGӮD (CCR-̓e #A#QR[jLBjtM<դۑuk[L ؛PPX/ å֏u\'6J 0-Eup4-mFNi=SEX."C}6΋֨ A !J EЦٍd0iTz?|E/_[}Eo&a&B c=0ayz=+z$<1Bh6v 섹0[X\!Tg3X+h%Z&h`,B 2G"*(auEl]tt4L-#X-J LiTk^xuwhDU5 L|a\criLr)˜#m>-UuT.akzCix}ZFKUơFeYDsXc$ 0m endstream endobj 771 0 obj << /Ascent 733 /CapHeight 692 /CharSet (/space/T/h/e/f/o/l/w/i/n/g/t/a/b/s/r/v/y/d/c/u/m/period/one/zero/slash/two/I/X/x/seven/nine/three/U/p\ /hyphen/five/A/B/comma/M/C/F/L/six/eight/four/S/G/P/R/O/E/D/J/V/H/k/K/underscore/N/z/parenleft/parenr\ ight/registered/colon/bullet/trademark/q/W/quotesingle/equal/j/bracketleft/bracketright/Y/endash/Q/pl\ us/Z/plusminus/percent/mu/less/greater) /Descent -281 /Flags 34 /FontBBox [-166 -283 1021 927] /FontFile3 770 0 R /FontName /DIEGOI+Palatino-Roman /ItalicAngle 0 /StemH 84 /StemV 84 /Type /FontDescriptor /XHeight 469 >> endobj 772 0 obj << /BaseFont /DIEGOI+Palatino-Roman /Encoding /WinAnsiEncoding /FirstChar 32 /FontDescriptor 771 0 R /LastChar 181 /Subtype /Type1 /Type /Font /Widths [250 0 0 0 0 840 0 208 333 333 0 606 250 333 250 606 500 500 500 500 500 500 500 500 500 500 250 0 606 606 606 0 0 778 611 709 774 611 556 763 832 337 333 726 611 946 831 786 604 786 668 525 613 778 722 1000 667 667 667 333 0 333 0 500 0 500 553 444 611 479 333 556 582 291 234 556 291 883 582 546 601 560 395 424 326 603 565 834 516 556 500 0 0 0 0 606 0 606 0 0 0 0 0 0 0 0 0 0 0 606 0 606 606 0 0 0 0 606 500 0 0 979 0 0 0 606 0 0 250 0 0 0 0 0 0 0 0 0 0 0 0 333 747 0 0 606 0 0 0 574] >> endobj 773 0 obj << /Filter /FlateDecode /Length 5316 /Subtype /Type1C >> stream hޜW \׺FeLov&VVQ[U[Vmպ6 K 2E@d¢kVݵvv_ὼ ժ]/p}l0'|c}voo[wK/t{ph2Z N$GؾS`۸Aa4 fJ?8Ww>XX@yCg%^;&ۺ=%܍ CACO-߾c-\VԲRO^[k }~FߦKWa86+`%V4{Q]_S^{]#4ۢB+?MP{Jm0G./ -χeDHg4k! NTś[pɼJr>ABxH>Mgy["QQ~iO_lB?_j]%[Q]T;8U a{Ni0}0זӥ/(׷Kn=->ZTQ+ 0 "4O8x~:%IHWi-V ==CVbwh߈h[i(8ܺeݳoݲ=Oե$lQ^1v8LS_Q!|HhA00G,^ds>o HcP5 پ~]AN K-;X<.O]HRV)vh7dSꢤ'*]+1q%-Cz. }dexJ}|t/ 5NWg>:CGl{N3{3ꍸI`vHWrX.75c(6 `9oC0߂|'b+%wᫍTQ9(&H#'L#GNG^)}xgle[OrxkX:g2xbP0i0j'ܴGbVmCvd_ o)Z² ozE=s\#r4r1O-jMƒ8m+.0RE%lWnbֿspc$'??`de2/Ln$tպ}RpjiN0!CBhSZ)r{(uZ XlXW=4cj͚xJ]H}~h/}sݩ$w[xр‡q56:w+j׵mLlhQ5!پ|1y׆1alS4JbvĠh(;ͧañ/ͪ;!?Fh]H`~oWs++*,b]'7m~5{j k*HK BkF"_hk*_y;#ӳBIzݺzCeX8ن[W@9(UFv~Eﮡ~1/|ctexpy }៽Yqz-.?R٨'=}&K;E쐘݈{ 2l}B\:cƂB Qwfx bn44}2҂imW)8bmڳE˴ b5Xafq~Q>ԖPM9n/WJ?㾂&;e_T4~)l,%0,([(:zݪÙwx gnh7j親ƺꖎ6$m[# ney-U՝:4fA5OZ0 #nzyphiM1R׃';T]TA&ow kP{nZ,U*lЂ}͸.gBX*[%E\|mϹ$nRwe4yK"<|˺#. MǕf`ɳeqM^q*Z%uZ&S @I^Z/˓hK3ܬ钷I] 55f< Hl,)^3N+" %xmll`K]Lk ]>T_Znv!_'5ŶUImxeD=(uziSM=۶ ? 2cQz3^@S-M, ӱ@ixl.%I5f5H?JƦ> endobj 775 0 obj << /BaseFont /DIEJBL+Palatino-Bold /Encoding /WinAnsiEncoding /FirstChar 32 /FontDescriptor 774 0 R /LastChar 121 /Subtype /Type1 /Type /Font /Widths [250 0 0 0 0 0 0 0 333 333 0 0 0 333 0 0 0 500 500 500 500 0 0 500 0 0 250 0 0 0 0 0 0 778 667 722 833 611 556 833 833 0 389 778 611 1000 833 0 0 0 722 611 0 778 0 0 667 0 0 0 0 0 0 0 0 500 0 444 611 500 389 556 611 333 0 611 333 889 611 556 611 0 389 444 333 611 556 833 500 556] >> endobj 776 0 obj << /Filter /FlateDecode /Length 344 >> stream x=RKC1W@M8T;0IK8(TχS_QH+0PF?ZHL`uXZR^M5)ޠ* n}6f) q# rCS\$J\ lkыAKԾ̧Aw`}wp!qIqJ\t[K&7P^9`پ,^ת$_x 7qR9U?FR͑U8GQVfBj:ڶgh{њXL8cCGL@j#mJ#?6X׏;Kh~;F endstream endobj 777 0 obj << /Filter /FlateDecode /Length 574 >> stream xEK\1D- D%N E}kև=zW~ζLB--gZSdFZXR:I?,ZI0/"%dC8WY'+*\~uO=+>0!zCP+DzHqOQu1J+h-϶8:yG߅/]/ Af uqCnM[_dF6F1A;h$%+ݠK~C>J/7)CWڈ68K$@\.(d!F9O}\f5EȨ<Է,L SG=D5HTMGr]/TNjè;N4Cρ ثѯ,GӋ" yWȾk+S=6z w-\s! H*m}"5Jq ׿ޯ endstream endobj 778 0 obj [226 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 459 0 0 0 0 0 0 0 0 0 0 0 0 459 0 0 0 0 0 0 0 0 0 0 0 0 0 479 525 423 525 498 0 0 0 0 0 455 0 0 525] endobj 779 0 obj << /F 776 0 R /S 785 0 R /a 777 0 R /b 786 0 R /c 788 0 R /d 787 0 R /e 783 0 R /k 784 0 R /n 789 0 R /space 790 0 R >> endobj 780 0 obj << /FL 1 /LC 0 /LJ 0 /ML 10 /OP false /SA false /Type /ExtGState >> endobj 781 0 obj << /Differences [32 /space 70 /F 83 /S 97 /a /b /c /d /e 107 /k 110 /n] /Type /Encoding >> endobj 782 0 obj << /SA true /Type /ExtGState >> endobj 783 0 obj << /Filter /FlateDecode /Length 493 >> stream x5In#1 Er^@qtzչߧAl{U[e?sieUnZR +?~*I J_eH:x1fGJGW3^W^э\1v&$f|,3tk ewh(;s*O3nio`"|~=֗,{A>Y | R^1ަk\O3jmv`=u~ IԢ4s̔)T#n~O~nOŰj>[iMbl1X +;,]sZ>;t&1ʮ{{Jzq4F9`C{ ama5S~Z1~v\r%M&vb2v]td2-V"_XKna[ endstream endobj 784 0 obj << /Filter /FlateDecode /Length 438 >> stream xESKrC1g&w}vJ■Kx`@;2Ee\Kj|22Jblq1_ 10#&0%˜-:h2#>!. E E٠COوoP)FHg>"vO0twT6SI# D:LSF- 9йjCjIpS :lrj"+Zb@!:!$(2OA3q=NL"g/sTc eMb(h5縘9U_=& pw vO~A8' ąk(86ʴp5-׫av endstream endobj 785 0 obj << /Filter /FlateDecode /Length 736 >> stream x=A1D#|/5`cګ?s+,JmYc*mBhy YC{GJ?1NkM* 0BGbV/+NvJM0iܣVVJM4m;~9#)8D}FVRJ`kQH> stream x5TK%1۷w%Orij۱z+fL=j[=ǿj8K7-g[ͰJBQVPcY\n2qr,BGnldXB׶(f#[!BAT/oԎeM\A?UnD'-[פּ8rX] *<}8ע5-Ccߣg}P^Cw B/vOaD62l8bTeGԜ#c4Cud{314p-QZF6 ֺ8#"B1C g&QPx&Ӓ` AM$Dq@ F˛[" S~~uUv-=y mxv(RJ8}XPW(DM(cXuub[}pK6^'&D9ou^n)Ba¡%@FhAKf' Ң>$ˣR`M~Is։92Exye_Joؗz~ endstream endobj 787 0 obj << /Filter /FlateDecode /Length 511 >> stream x=In%1 Cr]Kxzչ6rd֬/{6׶/ ~p~c8s5J^-R VbT[B-gYgU8~{+ sy XmǑz`Nƚm$uEBڨko%ݫ!*RXfC=kPHsDk .Y˜lw Ls*>6Tx?hw=9I 1ZuA'jmd0vlLŋ24c6HaTctA|jS5a#-\*л˱ZZpҫwRά1dU~fĂ;1uLU"bc+f3Rz%9[Vp[+b˒2ֵL7P7bp.͸PGW!,))k:6PB;o>2;*Ƴq 4wnhM8(/֪^LC}zΨ^??~ endstream endobj 788 0 obj << /Filter /FlateDecode /Length 528 >> stream x=I9 D|^qt6z W$A`V ϵn_ym<lsL,\qa"ڷ>rzu^ '˨*GL#cz^WYkd9mC(>OLJ~\8n̗NGf6aqWiq-4 jρq<~&W: rZ56Ğ@7;Lt6tL'T?Q5=|?q V1 i{K{Zs1J@]'&$&c:g3Z*^MDn9P.ѯ:߄%Dt;Ey!Dz`v;qrF~fLNi)3#l\gWZd,_|^QCQ ~N+4J| |dG-S WfJfGk|Fa-yZ~E%3v?Sg endstream endobj 789 0 obj << /Filter /FlateDecode /Length 434 >> stream x=Id1D%@JfӥZU?!O2$]beȷʶ=5]#S|!6\%th,K ngDpQY,bVug$L4c*}̘&3b$HHZ!GcE"ƺhsg>et#wkC\ǯ=U}!rH 2^^l*ۢfud{*os6y8y3ThɃ߸ (kvA9캖R{FGB E4Z^Йm`BwCc%n$fzt՚JYus3I%o|na^"Q>3z킿4Jq٨JYL:G}KեrX 4G7(x꧆(9d?O:X endstream endobj 790 0 obj << /Filter /FlateDecode /Length 21 >> stream x322S0C^4^.'t endstream endobj 791 0 obj << /CharProcs 779 0 R /Encoding 781 0 R /FirstChar 32 /FontBBox [-503 -307 1240 964] /FontMatrix [0.001 0 0 0.001 0 0] /LastChar 110 /Name /WHQDVP+Calibri1 /Subtype /Type3 /Type /Font /Widths 778 0 R >> endobj 792 0 obj << /Metadata 793 0 R >> endobj 793 0 obj << /Length 11777 /Subtype /XML /Type /Metadata >> stream Xilinx-Logo endstream endobj 794 0 obj << /Filter /FlateDecode /Length 5686 /Subtype /Type1C >> stream hެWyxSeO(' Lc4s "Ȣleki)e)%K$]4{,Mӽ閶toB *( .W~9=)yϗ<'~ist:a[և-'Ly~]Nffa\fZg N<2W0x8 :\ ?-%Uls/|uߺbv][W8<>_2xmbN|RpD LoNIKffv' "qB~\bRV?#8'98z,&=)ڍqى/Ө iiq$?*FhhAtNch hh^^C[AcҶͥEѢim %m.͟,@MzN~V@/ kS̟<(pQ`ՃZЭ>4Qڛ[Niwh|z_ux?st@} ڏ+r܁\kr4i$U!wK+HumJQ+24=v8dDF2D3s[!-,stT؋vw)`;bM[ʸuJg59XuN}W@HB؀TQq|ttT?lJ3h8kjG_PYSY-s 􂊁O4~a>8}A]&z=b=z#U 9:6,d@}~iRƻe]cDw ܿzpj`rs֡Uɉ$eG!Ԯwi\AePWI7X]W#\,y6n VK3gJ=:ɊL7\;RKH%`w7O|zb(euF\lvĂEG2Dw P8L XCs%~FΉ'_@2$ n!X%{ A{l $7 ?ņ϶})͓]lo ߢ}6)tыΐF@;˱D7%yZՉtF>Sz~>ƽ{񽈀0DQy<#ξj2Fݨ+gKb,,Q^JyA?>qlDG0iԔUp]xD;)N0N'a2$ w+ @.߱J{&/>hb;(n}&Cip Dt%*_.\btlm*4nOPPkI傫T.F_.dB>|!p?pאC&w!5 W'g.B;5ې"%n-ŒUD,@-UD\o*_Yk(@\_˯&JVo5 Ԟh3iT @Uv7  |?p^@_WUh4'5Gc2f Xuݙ~͠F u]+g"KQ 8W{W!'*110Ǥ}3!\)9MpD"b,0ޓ/4ѝ9Z]%xs 7>")BgBmKT8SlLQqwpP8LU"1tt8=&KdH'%%I!Eb^&a %Rx2EAԠo28O#stT2N}/f ʞYnv&gOHn3(P?"v0 <1204PvpMc. `vr9F zxdKv>pwFM[+:~?E{='m" Odm#x<@0%cM^ʵQuY1ivVQviwztTgh_BIhunFZQ^I)j66mv>ri)Cjs}i+?hjl[:I|?~ksH$Fq9F?/j\r_ϲVq#3/H>Q@/P(tI iȷqY _ k{sgxѻ8gvNrďD5 0ԩ]UgUsuP7 V,"bEE!j \E}P>e0W;9W1h~f4O-wan[WEiU{ߊ]Mzl:R܆.8jbwcb1!:}Ҷg5KmRsM(^JJ2sp4R\S\3 =#Pv։`.Ky3bMspM2kl&bCDYN2EEE'Ye)8[c š|u؞(BdBH[UƆFQ7* eRm-/֘An[+92({1,V̓B2@E]갛jq; LHQQ@{QB/b\yAS܎ @kĤ?r"+|6Ss7>lNG̀|V4Qr漱V FJ> 틽G'.f>~?MuZtpχ܉(aJ)Bd"tkPG6IԳsSѭԚ#}(|UА`>9·Y4ezSL^FGJX¹^pV뜸)50Y_3n4 ] %P6:ྦྷ3oOԕ κa4v>,twqw÷ir^a,S"LEh )-c"ԕ iw YpeI:寥/e "FkZk?DV娢R\AV4wx洌K?!"ߡ-f~Qs[*XL1 {cӞL= endstream endobj 795 0 obj << /Ascent 726 /CapHeight 681 /CharSet (/space/T/a/b/l/e/o/f/C/n/t/s/K/seven/two/four/B/r/d/F/u/O/p/i/D/J/m/S/w/c/h/P/M/L/g/V/I/A/five/period\ /one/R/y) /Descent -271 /Flags 262242 /FontBBox [-170 -271 1073 926] /FontFile3 794 0 R /FontName /DIEJCL+Palatino-BoldItalic /ItalicAngle -10 /StemH 122 /StemV 122 /Type /FontDescriptor /XHeight 469 >> endobj 796 0 obj << /BaseFont /DIEJCL+Palatino-BoldItalic /Encoding /WinAnsiEncoding /FirstChar 32 /FontDescriptor 795 0 R /LastChar 121 /Subtype /Type1 /Type /Font /Widths [250 0 0 0 0 0 0 0 0 0 0 0 0 0 250 0 0 500 500 0 500 500 0 500 0 0 0 0 0 0 0 0 0 722 667 685 778 0 556 0 0 389 389 722 611 944 0 833 667 0 722 556 611 0 667 0 0 0 0 0 0 0 0 0 0 556 537 444 556 444 333 500 556 333 0 0 333 833 556 556 556 0 389 444 389 556 0 833 0 556] >> endobj 797 0 obj << /BaseFont /DIFBGI+Helvetica /Encoding /WinAnsiEncoding /FirstChar 51 /FontDescriptor 798 0 R /LastChar 117 /Subtype /Type1 /Type /Font /Widths [556 0 0 0 0 556 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 667 0 0 0 0 0 0 0 0 0 0 0 0 0 556 556 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 500 0 556] >> endobj 798 0 obj << /Ascent 718 /CapHeight 718 /CharSet (/three/S/u/b/a/s/eight) /Descent -207 /Flags 32 /FontBBox [-166 -225 1000 931] /FontFile3 799 0 R /FontName /DIFBGI+Helvetica /ItalicAngle 0 /StemH 88 /StemV 88 /Type /FontDescriptor /XHeight 523 >> endobj 799 0 obj << /Filter /FlateDecode /Length 1070 /Subtype /Type1C >> stream hDP}LSw}T۟ز̡DajdP:7hda (e%XAd~AX`n-0Euof+.珓{ν琄 IR[lJ-BR=_?‡ۄPI;oI4Ś l]0}k n{+DgLlQg Lkgof<湃f=Wf{1pl>2&`4Ylz&ZͰ-&1CYfC zz=kuDCZbKx7` _0ISpi dm)w%̣G` ym]E-?->$m?<7vUTY^O  OS7ӕbfGIR3 Ua<)PpE^9㔬c>yA~/1;3o; -Egh* 'nPC~- A8X4I-X+a ]D,1l{!O|뛞OLO%iߝO`#R,X{no  B4>e}Q#Z:uJX . mnf{# .8MIq w]xBY]7D9ݙMJ hd.8(Sv36 N&Uⲣ%eJ[f>԰X=KSp'QW܎}ccvWS@5;%;G HJRsJ ;Ai:> endobj 801 0 obj << /Ascent 718 /CapHeight 718 /CharSet (/space/C/h/a/p/t/e/r/one/colon/F/i/g/u/hyphen/two/T/b/l/three/four/five/six/seven/eight/nine/zero/A/n\ /d/x/B/D/E) /Descent -207 /Flags 96 /FontBBox [-170 -225 1116 931] /FontFile3 802 0 R /FontName /DIEPCG+Helvetica-Oblique /ItalicAngle -12 /StemH 88 /StemV 88 /Type /FontDescriptor /XHeight 523 >> endobj 802 0 obj << /Filter /FlateDecode /Length 2464 /Subtype /Type1C >> stream htU Xa4bcufJ\I\$DERTX&7cFI(77Ė=mb}CrjsNs.znʀ VSMV'gdefd%hS)[(3d+ڬ<78#R`3&bb nF>͐fPq<I8lİ!Tkz.fZV'44a5۲,^z~*)0rrDg?]h6yE;=8`/י ˴̘=lE _pOD;:ݍ7y7ܧƮVvhs'GRa12 9j){gpP  /]ȋ[X+(#]Fcu.iwgK6扲wCHK,XxN.=w삢-V^7ꣻ! <~w`.Ny9i | =` &P"T05}a f=N~d_R<8}HmgE<\_rRiI.!r'"wdG09]@ /J' Sl\eg.MXm5ݐ7MbfP$aF=@7MDea^1iΩ%Wr>|n ҋw\y/?x >e6O焣,] FfãnnkxQU!8߿t/I8c LjWShް:ʅ(7PSyT#Wg=|݇MK2/ŕ _jf3Bī LerdD ZjVhhCaͨF:@uVڤ0IŅ%};-paVotpX=1BӷU%q)ŅU@o`PŪUFq!M>y(c H1gs(K 4sf?p<. "Mfvv 655RjC͹Ma0<^tzѲ2hYcd&z.WkJw]KDmn+:HvwOPQާ#^ٞ-tƒ&~'N&_t"qfP&(Y՞F6;zN6Zb/8{}B,^)NRR{ůRP 5k`+GF4Gpx5౲I4WXGS._ endstream endobj 803 0 obj << /BG2 /Default /SA true /SM 0.02 /Type /ExtGState /UCR2 /Default >> endobj 804 0 obj << /BaseFont /DIGAPD+Helvetica /Encoding /WinAnsiEncoding /FirstChar 32 /FontDescriptor 805 0 R /LastChar 121 /Subtype /Type1 /Type /Font /Widths [278 0 0 0 0 0 0 0 333 333 0 0 0 333 278 0 556 556 556 556 556 556 556 556 556 556 0 0 0 0 0 0 0 667 667 722 722 667 611 778 722 278 500 667 556 833 722 778 667 778 722 667 611 722 667 944 667 0 0 0 0 0 0 556 0 556 0 500 556 556 0 556 0 222 0 0 222 833 556 556 0 0 333 500 278 556 0 0 500 500] >> endobj 805 0 obj << /Ascent 718 /CapHeight 718 /CharSet (/U/G/nine/three/two/underscore/c/one/zero/four/six/N/D/J/V/C/I/T/E/X/B/R/A/M/O/H/P/five/seven/eight/S\ /W/i/n/space/F/L/o/e/t/r/K/x/hyphen/g/l/d/u/y/s/m/period/parenleft/parenright/Q/a) /Descent -207 /Flags 32 /FontBBox [-166 -225 1000 931] /FontFile3 806 0 R /FontName /DIGAPD+Helvetica /ItalicAngle 0 /StemH 88 /StemV 88 /Type /FontDescriptor /XHeight 523 >> endobj 806 0 obj << /Filter /FlateDecode /Length 3663 /Subtype /Type1C >> stream htW Xfc8h;b ąET**Z*UZ.T})vuC`Ԙ6k*n|鉽?23gμ|9;K0L@l!F 9s<eeH;o?pgam8Pj5g{]ua}{-cg m[DF!32]R~vaA.~azFVfFVZaFOnٺlC^od9ٺ4]NV Ây9 3r3 =|ݐ3zedPًsfI˚c?/P1_Ev P"K1Rx_T$)E$M[+bvό/XxMeJw' l[6Me\+nŭ֧7_7w?/oV[Z'>ʠ:NPyt("s vX 0TH 8!8O1]# J} *n9("%z @G,v zṳ̃T:CI?D<b!t秃0VrB :a0y GaK #) ]Ip.)ˑ'"܅^Ul!G]Ϣlp!4@{X x ־66ą='“`VsvWźc__\u5Jb0:e|UF}#? -kJW_-G a-*9""'P Zq;RΎ! 'u;4:KVz^@73G,Y@CL?)I9ĈdPپpclÇY,;h 7AZASGpVpܭMɃ>}tkBp|S oc-d]\tc΂ؿ7C9bv%)&"t(-ΜYس'/i}R>{a;aTT c@2:z{h9S`'X߲ϬL%HYIvj1 l 9u> x&XhVqa}Cpd`3 ?4Ws$j/M|Z su?& ۾\CpN_O^rF*N_{F-VQoyrRRbh~ ?vR `xvߥ]rI/NVu{΍Bp0su>(eu\q(6NUɔ+8WVh FmXҳ7z* O[l ׌XUr֛aE} N5ĸڈ  #gq-I]88e,se3_[P#-DHfseLTT5)]j?<֢^/Af܃,Haxuw˪u-L蚔p0B:X9#D砽%1>=T˘р VTUt dAVw=ͩt4(0ڊMg7z'gnK(6]&o%rAKF%L&+Ť!!%׋0`࠯PťA8Ŭ6IUy5hf,@MA fsLOH ΅P0`#tC<}2v;ѥCZw_:ڬw$| Vrg?EeX:˳Gu5Kdh.̂\šC 1y2tÂ_' Oz@s,4`6m 0/jFzS.+-. ;]PhuoiD.91; Okԝch}>OR=CC0ϲO>SL.*{O&iIԍ%]aM8B<Ŗ&؂ d}f,|;MvsVI_9u[O}_a xoi(F`@8JNEO_x['ٲo[6FT`">*Ah_A!inP ]ylqz1(yr0wmUt#fv8b.f8(ӿEyr.`4 ?u#r ' B7:rIR/Q0B1TQ] U)"?Qs0zcDӡm_K׫ښe :hO#qY}mB#AP b'4,_՞>py!?j ƨb)C ZVzY^AeSy.M zr1]nM]z䪯 s 4^ :V6i_[]{~G0 6.A{fJ&)XpGꘌ<Fw6e@Dj&QSw#(@8SyuP mclbj_+V& h6AhAA1ZX 5wa`\" BCk='F @&PuE4]tjJV\\?U?fGL}T?Tr'?qR 䇝Y/b~7>+Zv*v+wZ(n![(xRsanPn0w Da#$p z<ͺ[exB4s7mPdǁ<©]Q9~^MnlԁJJP!/ݿN\fVwC8ڰ5&`kH``~IvhZ'C Nfۣ,pyѵ Wd 2v`rTmvue!3* l,,a3̶7 *@/y]8w+l`&wQ)/U oO_ؖL endstream endobj 807 0 obj << /BaseFont /DIEPCF+Palatino-Italic /Encoding /WinAnsiEncoding /FirstChar 32 /FontDescriptor 808 0 R /LastChar 150 /Subtype /Type1 /Type /Font /Widths [250 0 0 0 0 0 0 0 333 333 0 0 250 333 250 0 500 500 500 0 500 0 0 500 0 0 250 0 0 0 0 0 0 722 611 667 778 611 556 722 778 333 0 667 556 944 0 778 611 778 667 556 611 778 722 944 722 0 667 0 0 0 0 0 0 444 463 407 500 389 278 500 500 278 0 444 278 778 556 444 500 463 389 389 333 556 500 722 500 500 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 500] >> endobj 808 0 obj << /Ascent 733 /CapHeight 692 /CharSet (/space/C/h/a/p/t/e/r/one/seven/S/i/s/F/P/G/A/O/v/w/l/n/Q/u/d/B/Z/y/q/hyphen/zero/o/g/m/b/X/D/two/M/U/\ x/V/comma/K/four/I/E/R/T/parenleft/parenright/colon/c/f/k/L/H/W/endash/period) /Descent -276 /Flags 98 /FontBBox [-170 -276 1010 918] /FontFile3 809 0 R /FontName /DIEPCF+Palatino-Italic /ItalicAngle -10 /StemH 84 /StemV 84 /Type /FontDescriptor /XHeight 482 >> endobj 809 0 obj << /Filter /FlateDecode /Length 7198 /Subtype /Type1C >> stream hޔYy\N QL&3mVnݴժZwZQ}%b lfEv*VW{=Cߏ13}{1d2]fV'N^#HKNL @?gw(g@3S 0ԡ^y| B BBDB sh 9T ŢSjvߜ̯u Ai B@)0L #/p@xtcZ`~a3Զ|JMI!TPŴOsWVFvov~+vCf"fU~QU83/x LईRvjX 2;kδÜfP5z`. L `"ŜWwr@`ߚBmũɂQsAoV$͒! !?^Sm Gߚ(o4Vt8yJbJ$ijޛ vqt'Cցk~;C7I2;RGԚA2ҭUbe4 6IYRɗsڵO-4U$x_s|NzD-eJ[$NzLw@0RRu)(5wFh TФVC+ T?| &=Ά;kS?RH'8㧟>Fo8|N{4 'Gpc+fH%Q$* wڎЦ$Xlh9 YZ~{nmo 2fʪviBC8ꂨ V0kdh; g|3:3tD$%lu^-h*Bm&ܢm ikN#0U Kްxם?|W=jVnv۶D$D3,bv5(T!S1T,8#ԓb43AFOdevdׯ_S |5=UDP ;M'nm 3_A~,^(JkG_‰pc_y*xfiP!GE |b):JJ*>H wjBg;;](XjJҶn6Y7aI8ϧoMp9`"OêfH|v)ńx}NL`ס:iȱ "$z! }W;+;G/Fv(W/B5qgU4tytbMͭн#zfkYbyFqc ff#30qF/8 T7_'#!n6Bx u"oWr(|]q/[JJJR4\}Áu(CrT 4Մ_'vdy'od)(~[NZ}0x,>.~UKQON=E"v0i6||Zsa4suV͊Fn-7ZLDCU6m֣PڲbHO^3AB]fsk04Ax-)0T#88yK#/~k󑷝&P&쁆*(LE=\`G-߷\K__#|>|ǗI-'^|WGVg@v]?'ݦ.ov߮dE+)Mdӹ{(!^w]G!4̶Ȉ؝<2:\A޿gcQǼ \z Vh\=;?ɯpFbo9mkw>L]V HJ76A^WAjjR]OM3nʶx!䫫]uДd\k9 | ,cC`}/݁\B cvMj.]:0~l PABgFiӽ{d=p[]j@ XI1>N->Tu .̤^6̥vRa bbhw;|p&mQ Э>% smgoR^|mbّPSL7A)Yޅk:_ɊEiÉ->K]㦢oi|ޓՓ{k0Z± T wc譽_2b94q'+1[$7d K|Ā~O_OMc#*7 ;h;T?7AM]4U/a1, QM5]UZ}-Q[[{ƀX%@ο} nG3M"m>+`(PI^ 2leX;{؞(&'fQ;žCCƴpdu3hDD[o3T۔6JW߃Y_mYkˡY/FN+q&yΟDFeЇ*3D^5|lٳYRj>eN&γ5`kX`D>>I_'77ph$&,eėƂsFjn8=Re겴YDoQ-Ti$R#T&C&OPkѕ|_zXT_/Sq^5m0B"Bir"t捾 :z<}ѰV5Ag jPS]t*vhS,DTp5*}_g̘X"5"//}iv|W$_A }S:>K&&%I^Yfk[flo \ &7r\[[xGX>Gn͆YK}8u҉]^er4RDWKc1rQ!) Y$Mr"K2X:~'VFS 9Z~Ot{Oo(=<]pn&DǛDǛ䋷U <RyTT*)/)!u*ES@.V3GN;^]HӦ+f2ZPO/9j qC:J` YE) 29JRm,R?:آۢBM&Ԥ-VYv֟Bj֘Sy+$02<+8%EmX`֨2|0=l?³}B6КnƷ,6ƅQq3Ƶ{˫-}sCt\l*RKRDjUW·%5X "p XA!X+av\p\zp}QhlfUTz*.fw 66o#"PuV=ĪHJkKc zFD?Pο,x  ^aoSʌEh\1LLKm?B>Y z6*1s+EMLɖqT@4@Zr6&Ġ NU^فj*VUʕB$ta?PӇ٩`E ʚx <{ʡ.F_EwPs Kn)ɍP7#qĺދ7Fd!ܥLMCVEuESEM)EULJSʪB^H9cX=6٦S=7# ;7ٱ+]._Y/U|HAZ<.'LX)ssm]f5;6$}[LTK-HE O17IZHc49fnFQ{r0fy^WMe ԜlFiYdeRT!תtټxXY\,)ĥEܬBv^yp~0r>@CH_F]N7ĕ>^-3bڵNQ *0k)uTʂ]r(o-(cc YC:1l٣7c61**N;9ԄmS_8͢Xd6^sO?Bs.&X>eGG:{ rvq$qԦmGGqY|}bQ-O4Q };n.WPju 4| ՛3=?a4|т:Mbphyu{4 m-lnnbe| ?ǷRhA$$4?`"ler;$zBHK.-As!m:R>M w^Mm>=y@]_FF(O-**R*s5`Q؈0E].P]qι=sF&^YTT s[}Yˍ`aYQg/Q_,&Gn9Ehe~ &|0,T|yCl{P5FC/2%hVɝ)9*wC`Ç]':"Oug뫔?5D CK>qE2NEQ  XvR_Vg0ȍQ=$R2hbHN#x-ߦd7TWx?"%c352g-L^#}u ­}~{\hޠsxnN89--#]%Dtog*Wgmn :R^G//4H-r$'Ll73u RC ,rwEKiۆ,ݎCv#zp$#Ta+җccrFu`VoFn[k1׺Oy2<`:G]Dz[(|X_[SIldf?YȉA>|,Ӆm̝.vUJ% ݸ!)! qa ֝}ogne<Y*=&' HD;pj_p7 S$ : unylq;*;Z΀ ĢB9P!ʉrt{kw?G|myl%c ZxM=NfI*ז8Ttպ &WBIyhS]+JKsQ!^SWח4ikz<#UQoFgl*S*ʊ ͥa%~e',)PmUcC-z55Մ' 0k0x v/ؔG2| n/Vst=*}_z {]fW dng+EZ^*ŕmM[۔3i7*Sy0G lvCANer\_nB&lA+Y, RC=<;ptoYEԛa­-)[nDw #]w0۟Ԁ4ff)AA{X<6'@R=2؀`*񲉽L&5OQ 0щ endstream endobj 810 0 obj << /BaseFont /DIGDDG+Helvetica-Oblique /Encoding /WinAnsiEncoding /FirstChar 49 /FontDescriptor 811 0 R /LastChar 79 /Subtype /Type1 /Type /Font /Widths [556 556 556 556 556 556 556 556 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 722 778] >> endobj 811 0 obj << /Ascent 718 /CapHeight 718 /CharSet (/one/two/three/four/five/O/N/six/seven/eight) /Descent -207 /Flags 96 /FontBBox [-170 -225 1116 931] /FontFile3 812 0 R /FontName /DIGDDG+Helvetica-Oblique /ItalicAngle -12 /StemH 88 /StemV 88 /Type /FontDescriptor /XHeight 523 >> endobj 812 0 obj << /Filter /FlateDecode /Length 1107 /Subtype /Type1C >> stream hdolSemf'\-F@'څ4uFflZV+AHO7Du*`8&$ .69t;N/~y799=EdnCfG2NYmhttچM0/9SyL5V3K5%N۸ &tޣ˿<3!5-Z:618|1]pn /_ Qf?4ʒ,#7hf¶~wRCۅ7 Pp eXn@q.'ęK{fZ-زqQIY$nHM#kx;QUt "F 0h#8MU-#NȮ{qG{( H;e1YVLpo aVЄ $h[-Ax Y!!aȴgx/*f endstream endobj 813 0 obj << /BitsPerComponent 8 /ColorSpace /DeviceRGB /Filter /DCTDecode /Height 1011 /Length 265143 /Subtype /Image /Type /XObject /Width 1431 >> stream Adobed     "")""""""),))))),222222;;;;;;;;;;;;;;; %%2%##%2;2.,,.2;;;;;;;;;;;;;;;;;;;;;;;;;;;;;"?   3!1AQa"q2B#$Rb34rC%Scs5&DTdE£t6UeuF'Vfv7GWgw5!1AQaq"2B#R3$brCScs4%&5DTdEU6teuFVfv'7GWgw ?TC[ n+s[quW_(rh2=@qӸx!) le~KW]ϴHKp^2:Id{[ڙ_S~K-x\)~K}-x\(عYo\dNuK#0=` <)z(宏o!- TR򗫲=M oo^5S+o5%x>ͽ!- ld~N $xw} oo^/_ ~yQK+}{|B[c#^}{|B[|W`w oo^+_&~O[$yC#}{|B^|B?S~򗫰O;>7%7/_'s2|F/Q#^0:LOe7l(z{Q#Ko׌~?TjqG+zzoQ#^3W'C)z{oQ#Kg׌~\/W`t>ޗzڹ#jKx>ޗzڙ?S~?^ ?x}z#2xWgKgxLr_yr>{U>V~׌~}~%WgYKgx9_/9_/W7z^>?29!2ykx>Kׯ޼ln}kx>OW^2:W9?۲yr:+ߋ쾵>jx}~ݕܣ_d^:32yrcW_7 }o}+r_kܗ^|>W }o}/ɾ՗K~?ȾyxKׯg++}+z}z~_7 VW}+k௼G}~~5~~pe/Mk௼G}5~~~~lߙ/K~/K_}>khi<~ѕuA :__ic#+\ ;?So[/zK_}ک/SnPԗ&FLK|R{$gm_dU?߼%}x^:-˝R NNvӤJw?SoW/v~cYQ99!G~Tϲ}o}@ wtRr^|KԺ98>dRX`kl0|#/V׹p7}[7 z cdn3?6YNǷy,{8%yCn O kdGF}8/m~:ɶv:K}g/B̝@uT[Ƴ^|cmpKp^5m%&'Xrԭk^Ƹtiz2_G~ݏݏVbgÇf©u]0DRW?3 a5_i8^Tx>G+? hcgx˷ޣxT>C+? ~JzߑlĘO$4?ߵ^|fhgxen,HaD?#g.1:^{ߴqҳo8/?|Lk0#iݴ'X^ Ggibg7gj[7:o%sDHvPfߵq?ҳ\O8/5EXa6vasiޕKG%!pM_3? g9Ȱ.3JwpKf~`ݰzY[8~a*pwOc 3>?ll )<¸v Wpwo_zkqMk;KGhzoX_KxRO_z&-fw~ 1Ȱ7 ކ }Tz_p3^SUlԒvCú-n-'fq)Tz2G%y)z2}^\ @RX]8%~dz4*e5XYvi@8xr{c! lhu>0~{Jo~T11aqr8Ukv5-q>+lu NDMvmq'wk'#~n-B0K>(hn=_c8'(}S;z4U۷ ͝AլңcZݾݦdyduIJa਄qK xwGO) sA8h];-0$'!2e@߫9db?׵#a{* w+h>' !.mF^K~q<3]mha\ťܦL'qˇusGqRU1Mr/쟥Z-*f)q8i inPa<κ?T?jgc= ǹӡڏ()GUou'cUe!ZIۉ,lO_XHh.w~ Ĉk\}thu`_Ael{}ENK_ǵm" Ƨ= k(MD/wClG++ HV!~H0Un3E/C[J)Х~Uv.ֱ?JOVZ/Ȧz `ƒp>s3sIsZ[w_XʯvǑgگa7 6gmCOGk7_h&] HjgnDF$EtέQmN ikIwBk=\k7m&M;')'t~ 82}+ZJg~FEX9fLx/h<ZZ8j<|T{2Aq8w, / J|\k:߄*_D;} BnwR>Z"I]'JKIc\>f~ )JrFjP?0I$Dj@D%"IgCEFhVnw_;C6kl@Uzkeo5kw:TG t\CxnnGOȳͅպ C*ߵmo܅feȸ{̓Up%H"ÎnFef  ZlKu`5{w\-Σ斑2qwPɐVOd @dǃ-^ո }[;ߴ<(_jisZAݫh]$]1CXVcƁ})Yߏ[vξ s'Ugc"Iw?[x3WQP2ֲuF7zvu#_op˾~xiZK屶??Z /08]'2:>]..n~g}_nn%nn斻?z;'k h~1z8{2ld6Zk5-Ƒ9s:0|5<Drg3FǣcSp!6h<xu98;]k@? _Sq{2ֺ'YwZ?\>[imt4ϿGT;2lϫ̿{wDvWKfwMƭ[øC~~*?T_nۯ.>$y 9V Iu]#+tmv?`A?V9Pa7׀Dxw_}#O~%[iȴ4hG3r\y.fsHAW1:Hɹ}{Opn_OZY_"G]u^F0m;pp<4VoVŊ> qrWk%.lYv Z=]8B]F96dW;2LW鏳N&aTdU%qߪŭT]]`[nAi~`xFtm}װ/fZ3nƸw UC9 s6 ?yYvS9m7ØHjC}do+]KCT#t:C9-c8@>"}Zm[FI$8tSl˭d~ n^oD(u5|ҪmTK\:Hxr?nH}'6>g@b<#p7_.(~Z]9lű xvbA?0DVe#Mdَ0:=]:^CΚ*O[Xhy.Գ;cRFsgf&5ɗnwʯ76n3A}u}9~((zmGTؽ_WZ܆Qk{CC]qBpkcC.4wwu\[Pkv%hhe,vxOqqsOl (E{hkI-=N CGA`+n癖k.G:kmP;jL}_ʱ[}?{b(F\dՆ^L~\99qvUn@s}?T;>JwFy[uE6IX̧11ŗ7cˏ> qjnGATdޠ&)`MNfZzOogDS>ĠٿէĪ?чsݢ`2q FSwa%!k| 6jЏR->}=B=пdU@1v6ӷE['z6}8~v~#L~ߡv@7z|h<@NK}#t߳Pụ [p~\ROoe CһN߻у 6tU l@ʳŐtΊ"$Aί]MϿkNT(;s" E*ғ"INZ JT7"?437ghg"?uP>ٚ}7z|hG8+;=B5z& RѿCLw*?,z7D `:+M9$|?5[-`ɨ8lj0+yļj?KsGuf- dnH W̰_g:w'orJ&gmi[Y??"d4Ȟ+C$@b|?,OwϾ{8D';uw𺅸om2dx]*=Da :mӱBƪKS+w\8Boۖx w8T {<|?M=ͤ[g*$ى)Sr-Ac xq|Ȉ";TtXʥvTzZ h?ixs~- {yS=Xaݏ*Ӑƾ!gx~):k:j|ӗva9'l?V*mefxRsMk k?tJ,!HgCofiԄVƻ\5O@d> w"P|4V߱*-0tSvй>"|jJӠ<E-$OӲlq7hpMeK ~VO~a4fV{cEdG1{HXm{əYywj}"ssr1i-t4m-iVUʹZ[,ձ-d1 p}kXHp-Dr2VxxMяTx WԴh:} `[[ :,ʮ8=j`F|WEk5ku 22ݏ[aِS:HjKwIktGXcl *t _+eu*=:L:,y89 Cdv aUڈSp !:.kFEbgiM^EV=I]w ft7!AN&/p0gd-ڀAbϪCTmsj6;<Χ԰-,?D5z> 9ח@V[<<"ºH}Җj?"/?Ui |%`u tWG8E[Xߴ<4hʆr-8#cޙO+}hέ-M"7Sg齵g,,+uJnq|ZL~ ِ7]*|9:sjh\bxt9e̱Y3xOC˦A,l'5)Bf3:a͆2t#pxC۰8hW-+~Sɍ\i΋eZn\XO*iW>"DF=My\VsMƆ:uh2v=xݹ>~MllgrN]kJV=tn>6T|7!Z:c2tث/}Y7ʱ^}Wh,;%z˫oqk\{HaSl'0Wu$dž>Y:u's}n-%؛#F;/xquP;̨J$NEd \wϱ/[m:8^>j3|46|ܕq{h~ .y8(YVe\統}G-']VOE1h3Y>bugy#N.r7}m8իÝ[:ߖWO߂A?GoWV. qS`SsV;v^etѶH3*:33Slqܬ}N7&y?z5>tdqk+9D=#j坕mwKyd}s/ O[}m=W?VO6;HnOO ٷ -z l^$.sH#PBg̪sw$hUi:lGWwAacþW < +GfWTg}w)ُ _㷊YX2Ɛ X%eNwq׎.n-C*`=_2gL D>YA+TKJy!M9vzZʾ~kӧί+_c`}ڸ`ghef4!8P$J10^V-m&eVw^m,ǩc'ซ0M#ssFY']UX%Ó $d U=6gN 9ۙY.aN7N۝-]5a`go!e;m#=&A}l!86x!`Lr$nGg3oZKն EMlp6*Z:ivߡp-⦎?Wn3_R&#gK6p.<}%_E&8o Cl0~>]x n5Uҷmxx`iunZ}s-̰_ r͏2aΪL@-O`7~!Ο?lmVZ}>:M 4;k-:Wg\'N,7~au^ >Z|ǒCzAuOO^[t1A`p?cuM5~㾎:zql5//9e)B9VY.1=Nڭj3oxᑸT]ŭpLv},GrH>n*57y#v 852$\GgQ;]6-t0S˒ʱ,ed Oe@qD*mvF@k}^7١k^|߱aInSO>J!c~~VUnf߿mx|ssknG3ǧ۷}-~?]_mf|fjWv]"p0S߷oOn\dIOҳϻvʩbS]lŌXOǧHYǒ^ILp,.vK:M$L]^3moGl#>KfQc"KLviO_F/669^\,adž|*T+2H-l !KkzT6~ZHpXQj2S̶[]0qT-h\Eޢ˘\Ks\"I-{wvSqvk][zg)}un"?JcY! Fޟn,c 4OG_]e>׋'|}-}Oh]7WzzvA<29ďZ_ б[$?t$Q9oqۥt[ &==Ƿ\v?l iqatNAktpۿNn<쑍~)i1Z`~us O:apv:dYǧ!=G@ G.Sć gM#Գ)5_ci1~e|<;s}6nkN?fΞm,pip41ܐ'?tm>oGCv8XV;]žݹ͝%uJ-m$|6-"aW[}sh KL$]\+1H#HvG\Eo ۷h|̱kKll Gm,h>[ ] |j[3 {}1&=|( ;z7"q:ZGo{n7ه޶NvDэӌϷ__ݪ, k5Dj7 C ys(!&g{FC, .04HνDG@bõSq&Oma"m52G8S nݣ )?^ֆQ^|Q^cYs+֝m8G[cj4͍~CXA$|F+oN6n0K$+&O@htI#أai<`Ȃ2`Ylѡ %c7{} =ċӽh=OOG<w>c]ڻ]|-F)o~a}{}=3-XrYfm|WtkksuD hvݬj8 AClfNFS85Sʻ NM݀ HccD.px4DnP؟N/x-`RfGTVC}'s`$(Cavtqoe'd􌖷6QvCB[˳_^;E$6I% ;aVHm^$m'=}ZZݩ:jt = #,ݾىM ݺNx)XOGVEEf72twgZՏcMb;WTHmZklR3 =`xxۻ^(+f8RiStLk oqߢ36u cbOIFK16#VVLzASqw>HIw9$?C軥x="GOy?'>(Xלkk> Dq]J;ԿVCMvAuͶhm @IƻnխVXGA5O&ZY@8?hxU Q.~ 8~A;Կ4oΦגwa&s;iwqH^"FAKdTD Vߑ3^{nE<Sty*#f&8i?=ʋ#{fGr׮§cw>%xyx7^OMLu{{ Lb3& {_hR,~- |>8x ~'NuZ_W? n݄wW7du31:UԖ$r-:Kug\rt߯,'Y\-_qƳ|PYC8+)}7uqob J坒+scck|{\: ü.7k_eH#FķNXOPGUzM<8C'e usKŁ|=QG8{t_˯fQq9._L{|7t=r*y7C%a|NMGJd+NAk4"ݏih'aC"{:n$|ڍm0=x 1IqښΠi3ƨqX[B3Dek^5q#-n) .زHE.Vֿ>bzHu #V>H`:@-sD?#'ת0qEY70Ew~ TNul:~hXY؎;k|`=BxgK[{kd}(C-SI!p&w𸾝tY9}ao2\e\I|eĞx)(jc}6p" Ml֓.QT WyגX?"RީYeu(? ItqnmaM/oKe_Ƴs>12e}i0ﱮunlK~EF fjt{;ylMSi,N}ksu?[K@:ϟ& r6 MYJ*:B7..t Sֳ@5i:NLA.A&Gaz\FV;c᪥M&y"}mm kVFOpGTh v7uOkKy#X*PȰnn3END].,|Tc}> ^O*&+?(oX-o8#/Ec tuN f0XQHjώ|Qlc08}g}W.j/4jOmv3[hMm\\I6O"D¹Eu {Ii ;t<׳|Z`^%k, !۾m]X)}Fd~7 9/.ggVoҦDjVR> _ 6Ui/UqJ| _/'Y^׼mA᠏*՟^i-k-ӲmUyt gEEN,kZ4U`өս[NQz+*ߜ/?ͦkIqD^n>\FBVY2lZ}!~ &Ѫ (V F:u=5>dgoϕȃ '`@ёc'n.ʋ,=Ș9ir?cnG+%XTv\&&}t$gq;9Xky^!\RtG0!z mMeRoZLꡕ.f[^VED<ǃԳ [nm IuL~)8V@uuL[rmU0ֳmm\+~ P$GuˠBpBu-cZst*zAz`3pq%ۻh$|БYDF#V OO/'P e]!.q5D=u|c E??%-93)J@OS2zgt6eW_vXUt$+ķޝ-wToܦd;2+o߳chVXvg1ҹqD66$Ƴ,UoCŻʠjyt7nSۮmm 1tx5Sv?DO!N"G$#ޝ]2톳7 ǒuaC}- J.6W23=wj owR8k]_o8br簀'J7\k FLઞnhl4):Uݯ^{}G9?uV*9L[ ua00CZ&{ ^9}&tB;սfK 1t'_ϚfNwn%&R;t6~׊P`K\wpU{:5{I<*3#ٚ2<~Sx.Zw{'!fۋm/ۇ<:t~.x6}01f_uNa; }*"4o?rtE)NB3=K%zޜ'fdfk˚HE:3lC`3~|O:1Wv;I .5G>OV's^ʦlZ,|5΂ FIվ 4Mԭ7U $t.$7:;4q˗ގ.H9"jG[[]TTy27vNPnڡ9:A2-s sb5煽9 2p*ɺi7V7lA'[Q}*.l# 1͒Ze|{XڜFk4cVj.4RڜiiC& gO.">K@ѾN;§Fo]bdV]va=ق[Zvh$l ?7w8+>< 7j̢pݻX""pjmxIp`&p%^kk?GR>S[:_:#+:屧n|=9 "t=ǁE閈!N*;-ٱ쁸.-$5n#6NEw\c 4融lig~ dMh`Bpd$wݿKp[.5=߻K.lWS=f|f5vX?j3V[*f{>7j͜z۵na#=ۓHNC$ZƸ3GFݤ52z[Ɲit&_> \[\K6ꙝeVls\DK|SYmuMnxڻۢ׺55;>ڀ#R#+Xi3 s#xS427o>)i-G/9}MAipd+q[1@o-+gkȾc[̸r v1:ϣKNcA)ix6_ecXd[ s}ᡠCN}.V?P8t4=D\-֮԰mex;98qI"A!pۡE&gQkku";ϻ}f<9gdd6֙͝?!;'I,N; >Bi? 'TD>!2eL'% obk*uq^kaH$j'>kbt۲*k S%r~!je0ڎw0{.6cTrFο)g}ˌgbc,{IwΗEn&ϡ}5dVͦ}0v/:̫U;I5lXlRӥ[.aD!ΰ6Nu.]~ [AprmqsINdgym@tcQ`c-I:&U^/Yft[kZ;!06 ta kLia+,k `&caiZɞEe˚I0 `e\Mu0V="0ow} 'c;2@Zr,e'QTystFLq'~>N 'g8[q8kk±:;_Jjv%]#Β=K H(jSI?gO4}A;䶛smj$m-%لkٛ]6Zi֟U,̤S=?s Vz $k˜ sdFmw)"ȚiٟK ntΫ1,@YWS(p>3GVv- sa )LĆj[ G jb7ج2tV [uZz< 8ddgen؈`ϒ'qCq*bkjپ#9TFed͌i~ y .)z@v=*cZth>%VX8mdL1RXk'^%KEΐ X湠sbD ~h; w?iAF5;eci9dp1r·qc+4mv̨x_Vx'_ߌ^ ,OHK>MN{KHn;Ƶ&4pO8[ՇoUӚ~3.qi2\!%sY,@kXwmMyvARx%\fM۹7 >sm i"|6LU渁 yB5MQ=B3S4;fmuR(45ĤVŵCL!-Z;8I2L.M!3aAa$+vz75ŒIBݗ5w9֒`wuXY&{G H;ͬ`L*2r[K\w9q v̍,eղni"4 2+(q} Dw~>k||%qv qqyjݸ#Wcud@'cXu๎) .]9G> |kSv;mp(d{vVb?ڜb": Nc5~DϏ+u{mmO$LP6Q{ F׏ ?/Ʃ\Ni>+%2E8ݱ]$}{&Xh{E~cҚg5 zY}e$$Yulih,'l32= 8ef-jn8 1 4HmA\kj^12X6Ǵm݁}qy}CGwާ?E^fl%um4'Q_9 c˹R=KW]GT6S?- i.'!hi Kun/Qv3ee6! 9]WcwYYxU?9C?4bH}pWH#Al\KoʻYafIˆ85=G N=T_[kvl"Av+n/?~kJ'L)<} ]UT7ezd;g|6H\;*=Y G+f3[_PҢ=2Ѵv?0 Z mh$k R12=1;yCcFPmYdϟiL:=c&. a;t:2{{`0}]…y r!͝sFQ`yޯC)˲1Uf\CF;qTv'SKhuL]Pc4xKf56Yz7EO1#FIlp>d6X3sh0QƜl]*yu,1y\ӽ{f3@,>WնHQ,N(F}2[sc~U[j{}=s~(~3Q?DO!4?Gf2>i3~[:~XO~I4}{63}3ҝd? 2~OSgĭ@sOgӝge:$~1,XvO]'SƦ%Ѭ@>GEtF5.sv`$,o_mb<6ct=ڑ߶YeLEo<־GYYxL{LN.{*h]mkC8K@%:|tB\BtcEL sdIr \WYc/>@(]3_ M V'^{in+5VO0<} /yl.{2M,k'Dlf?w H?KUeCd\6 TRY^Sƃ(eԏD8ϪX70"&{~eehKP1,ӠD2-hp" rq٭pnm.s0N૲.~gicEf27?o*4K:k@wcA@0yJC\6~T69RvKȭָ41K0Xk;hh-ocJ!nū/`L`0pHzgDz<yӅF;uoktr7gd8XK2$@%:BD.ʴtyEn#pinCi{CIڮH{1{۠4X{$G+V8>}M90cZC;X>e>Axm ޛcQd&5d} kCl<1{A5Ht1qa!7馟FP+-i.3+.lloM~Q| m.ht@TDXkk9R&p @H7jf k\+2W&JYZ ̳s $ mldj4naONz=cPk)9wH6C'qwQz%D}7 vVf}]ڳUV_;^v%?8KoғwDo~n?Ims\KwjY|K㱔IAgHnr~SYCNgǺ-bF3ֆ7 n:eXhK Nᮉ-]Lfz~lNߊʙKY[w~Ԑ#qMzu]TodR5 >zĦWc_ZͿy`xk6{X}C=},0]0~uح<py8ױ ;u4_oMsiC2j$w.sjtz^\͆;oIgCm7hpaR|(:>]U4QLU\@ѱ-UzSOֵdtM^{T~dK;|Fn|GdS[sDxHs}^ִ4w[}=KׁFݲxaN;1{?#K~G$a VȈ/-R=qq" IĤ x묹M-,.f69p|ηmvXqsg6 lcX> HB2]# QRG$(dAza(r"x@;ZYl~ 11ĸZ};tаퟔBhѳbK\ cNG^ɐgS˶ʌu.Q1X ~G^(v@[ߕDj&>&zϯ> hvEvCNdQɑV(x_ʜuh:=Mpo̭N23:c6Omx GZ7xa.q揂nk~C7E[Kw8v.$yD8봓ӆj lFwh.ӛe9?]Abٶdnp?KhB15a̷fVK n ռOkM굩=EEڏt._Z:klk,w c@fKOH8>h 0cV{-/08Na83t$E ۡMZzZMp.mipx ?Ո_Wf'@uwE~w2K1>*RdU{\YnIyNuFiwH%g@u9zTTZ 6ApekӑnCꤸL =܁@ 3B]|^oԘ;GV,ϭZ Otq[[>V%{jfX|OIo՛ꭶ`vvmD9v"Toe&\? SY^ 5Kt)ެ1;<#!L5iL\l5o0˹DS-|\9++ZGw ֙Fs#~!udqƫL1ݰLb & kxptsF}u׶4uS :RjuyL}*̮#GҞO_)P],d4Z3 ?SZ6ݦNUŀ 'f'tGMDeLVA\~Ph]!#N+Ŀ~iM `}alcPJq('g%3XKf7~K Cͮ? _+0no2CB KCs&}~ :`sOh`wXlsM;"0nO *xyWd_S]frL9h?IQZcXp?L}YV(o]Vѧ<._:wNj2lnW2Lm'Ī _p~Yy2J3.'@'Oj}]O8Uo0g@OFEY;~09'**OWFrrc?ta>gkCy-[%iptǸ}3]^8ƺ9>}KoEdyMհ9(4fi3 /_nJZ ~m,>Hp 1<ȢW,` Ctu ԑ,:.?NVem!چcI *T/nuYO e]]_A84$۶u I#G6=1ę̅\s0-r寨kP>K~tcncn{_=`lD 4c8UFn(D2yFX~PƦOtOtVec&=6pI{=%p34W0:}ou,X s56 GdDM}<\ 3׷^]5cq|}s_zv.5Rc 9yc^=i[YZ2eokFҝV;' }=1;nےcY, se\{s\HI^iFdumhkdWdx ~uZ݄?qdv~Pis;={~?]rmkHݨo j@ecUn9:uYKUw] b摽v w b?^?*vIp#ޫKFk-D*q닫ױBsֱith߈EwY<4-{VER^K lB WT:nc0fGۘK͛=: g`AC={X̯^ƱjFv $+73"cKv혈_'LkQ-:~Y}&^,,~} ]̦=.dnW_1:jk 빠;BD?h[y/EuszOfc[M\NCF5xI7W%68L=} {EV' is˹gՓױSqˇmU02vd2=rChD%AL`aQ{q#8R j*zO.qO7x,NYB̃CKNO>Ğ?o;g+2-p/āa7<̵;p|dmVl~lpqƑ_zWa qion#g[ mmwtbI賃`U~C+vʉ;\b[Ԁimqgho$ctuG8TIe-c;kTz0O 0qlkq ݲ\L pDՏP{rǷisH[G^zH4V1dR׹7 }+i8X_=;|;Gݕ6fHpNᵐ[XX sL@%؝a`ْјKkԎ@.@0WO:l456kGYuĻ;q j8[xS0'z<mdu(}S\K ft=igS~+GHf}igR i`?$',atxhVVM EVٮ (v([;Q}4EܷTͫT( jFGG9q6(>cbדfi3= ؏&NM `Oتa֎^k}WVa 'W{n}X?I&xOWH~qYc}]Oۻ"<|Bl6orD 2D83L--͇<䖼 vy%kHK\o;uoMi2#Ìs?Kpfi ]2'ld3X浬$kli0>#-׫L:CnC`;i,ײC VXq=>|"d1%D6 m (GzM,L瑮w1Oc6[s"z%߸o ?9eu1B8vvDGNsj_M9tw4csoXȬQk>9.sw$Oh!g0Գjk1ۏQvk̢W!ZzwKo=}ʫ#vJWkA}~G$ >^)4p,Fֵ?- lZoޫi@ ;m ,`m6׃ũp D^ ]Ĵ-^Bn}6g_5 XI-ט{#C#q.!ڜ5WKYo= -wh;, ѭ Ga⺱0ZwIg XhbFmq?>G{X!Uƶ7c?:%} e/-_@樋=9YB~ﳟ5O9s$Il.7v]Y,kl'{""e*lB´zkh )=؎"taoɟM1αP39&]_ӱ5yiƮjVC '4'[b&1<|R7BڶYV睾>%W!h֍DF*Zx޾(5i|5V)/73VNx/hX{SL)ZD.jdvTͩ 5?qdąnE:8G`eFc!Đ7]y1Dx4=%>.EoVO#ǕS7 X 3M/Kpn1U@fA7 wy_eN54)cY픂Ѡp|UޭEmFN$F#?g6}py`2F"xv *;F#5W s|;t|:OMAAEO,Ě擱mX9xM ik'kyu5u.\"cz}v:?G='X|AB20ŗLwۅW[Ghϊ.i!?vʶ_Eɶ+5qc!n Kg?u;9bg߱΍"]58xu%4}Ǖ0Q\8(Cud+YQ*_OpO^OYK4Eo$24]U>X5O!q_QmƲƵAM~aQR4IvqJG Eь:BLY_Z]E.OC A+[KrSپ 6f-ɦod%f,D{q>|g0ÆY7B5URZ'f}54 m֫\@B ;{J@nFMvȮS suectƶvuJ~Kmy1kUl&c3'Q_ 9Zc丝eu_Xe1s**-r2v(3˔0Qi!NWҾWSnZGb_r~L7+kf57k=?1 8Dw.d j"Y#Ȯ{-pLxks灨h\ֲYeρk"!,Fwy:ײp~et%hsZ\KCy o^LQx%^= :%N-{2AGֱg[ dU;{kuFa.v|_;ә],!z&K '24DV;sC7DC7D}"?t%Gd>ޯ}^-󯆋<^U!hˉxЧbt M?oMf7G^G:1jnzm5=6LI~tmKɈ4`GHѫfz7m;ƚ +WYI%{qc p:3A} nu `jJ[ؽ&O\cniirwn҇ұT}wncl}өDЙn y-i1ν]w |'8 u9L2nG,)oZ_clp >IfGHT}RnXy,-ë?rٸ=䑴©o_˴{LF?Ȝ14Cwi߰&)e9u8Q@,lk#M˱Jbsn'TGucjw*-,h1IxlJOՎ9IJ ';tN!%=/ D:<ѻc匷u0eC~./f<#nzM{Z #yv@hp^ tk|fN ?,r%'(NZ.)Htnޠ[=w}%/Z_sW-GǢJcFoOŋ qwM:[;z^h2pn<|7֪2WKxuy:neT]T=-7-=zm eui#XU_:{5YE쾎Nwl?fiCF~MiׄJdzC R~z{|Ӈ[sOلoM?ગ?GY ?l#_*F>7/GOuձ1Ye3)d.$NrHu. @bِ]b\'RF<#n:!F9VEnk't?Q}b\{0L|_$XH;F_Lj.ڔЊl}8~{e1 t}%-(n?h{9@]!qLw0KKask 'O#̢ۊpdLg-'> 2!IK-õŤ0=:vN?mQcpcA.qȜ2_M Y R@uهAvZ(X˹4$Lvl~J.I?a,t ׄ+q]U[ma;htE1$FK졅~qqF||,f\#I3ܵyhԫxVHlFݮhЍqKŵu B&2Áu>V%ǁTzKV~_DU:i6ٰ2~Twp5/d 4*UF5Ή6)ˉN-n6v-Эcs`+ ;)ʲllF5rG]-&?8LOP'ؗie݂AF{B{VC^  3XcqvM)ZQeNcZ< /k:?A{ 2!A9#oYŲt tC`Wp1Yh-u=j\vv>d7ZŤ4%'t-;|DlǪ0b[L=yӂzvviw;*-vmښFջ*㵮ý8Y*2/@]/$G.C|7{a]Ω.ZPGQGв뭲i?!֞#魍5ƚ[:ԬLlˮeF gk:!Wc.ekMiO\aW빙׷P: !#aa$Kf%Gq3)k.vmb_VF+9ɹǷt8YnWӐn[Xqa-q\_8@m$4=[ùˈ䳟 ^Z#yp's0Q:~.kv+]/M3imNt4dvHU fbyϯm;vUkvO>hx8cYacA)P,01:I>?(Cz$,SOOP~o̧O[4Uֵdpqyl 0l*.ToŠu9Hp\Kwu`|roa vG\,$ n@N^{>C9Yuzwd;yx?G5eli+q VCu5r@wJΣqk!Ӓ6np% Y K*W$2'DB#wm} @E, g|]WK͔1 R[>(zLӵ (u\Eϝfu|.4U Z;imwþIyղ-Gp~x(TR8Yq> 6q&KZ@ ID~}5ۀ>(Wo[Q+V1?s\Ԟ/7)8w=8㾋͆[@ꞧ__:’čnEk8D_Wd9VzC7y%N3@X12in5us cjtQSZ*uLJc]5>2,Ϭ4d gʯZ1.}?ޭk[9!̫='RZְ.~K &cW/esA;q9]:ǸjLe>>+/=9?+ˑgKk L;m!ø ?mak?"q mk)u^wnA>+i654^}'2 _%<Ҭsq:N#K;̴mU[v th1l=z.?TǽBEN⍆HdwުrX:' s.R XI4+ٵ]\Lv>VůEQ`׵#1C[or|\C2=N+zEz)jH7r~nesF]|WdEa{@uaUmnןYi`fƵHhKg7}[ͺGeo/s }=ObgzM,dӡ _aDճN=Ǖ=AT>u# u[`"xV8Y,~R-'M<54sևS/eT H$wX}x6t4u>z05vAQe 0G[mxqk HgV˺wP}8 ]~+1 uf@ ?=4W[]mTKncl#g(G"l'FMk~-֖pvhiF}K8:h~DAsEKοl\ƽΜ*ékppTɳ"zll&H ,e:_[# ֺvos :# ]۪9t͉;=t2)PPNp|>Z!hjENl}&EyqJ[Og&]Nisgwެt^Ik_vSjv]/֚66#O3qk. ۔r6C l'O#˙T.C,q&7Zl.qhpGV͙4, s I}6P6uSSmMasNDA( .^(K: 4<Åu C\Dj]ฦTDFST?)4;1W^@u-:Loϛ)psw8n||U]OZ%#%(v^VM'GK W12_Un.h{]z5{ BngKc9?X v9hڤ{$1>*'jĨqV3a#wO">2c|S3 ;>ܟtV9"v[+;Qʍpd5Z5Nʰ4Vv)ѻW׫6d=tMT-,nKk60! +#kϷ_aʋ&#+YS?2 AWq@8%_Udی5%ۈ3-yF‹=n<ŏڷ'>Z\{;]]?:D aֺ[ '.˴kx4;bzި'(?/>Zwţtz ,k:Nѫ{i3g}iƐGurcm0h ']ncݢݭE(݆p hdk7%plk,gR4m{p'Pij6Ak?w?WjykIdD>~h˥DCI4NF,ui$~R21š29]N9XUUl9Ԓp4\ү;ҖX [ Q_,`ny^ o$3V4>&ϡu sKMhѮljܜF ٣yoQŶ3NyY6fU_hpPN!b}Tף`GtfzuPA~ה%!)HnNo,XF@)DF~?{^Gv_gw<ûl>U1,gjOm>7r|)Ԙ`Y$xP.K_T_]wD}oX'Qȑltb_l1O?FVσK]ֲUc[I0 Iԟ?M: hs,Hs< Qv^+I;~HWm tm΢+hHFUP9May׷X^׍xăR*XvV p$4tL u Yss]ךX\Zʵ7KK%yXƷYvkB}Qm{rxAtwBcp}}Kv־Y>.5vM@g{+LʫXa=$zXɑսnKW7G i_U- lׁ`OV`빚7 "dbbG ^ dS*/no;N݌X#Ek^51! o_o:{|НQzcs ߼<Ek%Z} ڱkX,dkZLI5d95 ^ {@쏕16۴7<+2Ri-kZc^: <5F5N1ѐvw:_JgV־Z Br:& |~YVA{\g9]䅙Ȃ(cóObeb<q)㝺bWc_vF-_gNwkQ>NUbagtunn (G}pôc7k]Ize8r.(u~2ItI?#$|l몠pk/`ұ$s@z{|In6FUm5 `iǏZuZ69" kSxͼ\mI. En}Mc^j'_vp&-IiW8:{\igF9ӟdCĀG؉U`;KHPv}ްQXbX,8uM{JW@&>׃rIO-gKL 1pm..:Yϭ_u6CLx&ubHcSSm o laֲّF+)>u>g@'@"d]X!ks4j_wg K[hY:X`n|/&@Zm`1ru?F>5tcH- p庉N(O>>(`>->oaei2qoG[U휖7q oXl{me~{Iu$MvuU=PݩBZ@x;&~TcU<ҠtS൥qhX{ 6&1> XïcdzgpsStw^aƩh. D}Qp\`hqq;?S[[}'iƥ7],Jƀ *gōv]!ˉ?GX]+=ANn5cz6-h{N @o"x8j_04_Jq"ǵ4D#>7zK1o"4}4m\r{'3Cʭys]ixC+ӯZnH䚎%ϯ?t2>mobUyn$\zĸ(td׬c^Ee6ڐOӏSaŮk@ t4!l L5NӢ윆Z>>KM95.u6 J?ECȞ[[ C_,10'g) +u1<Q6Aa=e \!ocApq"ꅘeNѠtG}h'#% d7ǃ/ {_?9U}?Yވ!Cq~Ou1g_F8cF_q cU'f=ZUqpSqEvkKft tN1|RRwk7767;wGOVc#UWJn8kHw8'rZDS+ ȶn[\KwP~t}#Ap-sz_HxfK+h ptLv :]TC-ptݥq;i!K?Jt/v}9s{X~IW dAGgWV+ {K *V0)D>\Ngu0~8\ORᆍh5Gd8no{1j1*xWbK[x㺲ϴՑ?2:g}տCŋk7;#]8Ț>{T>Z ]% =UXg1X0{J?Ro+ BէvYu+A0kwՖǛޙ* X.So܈:˦ՊǸ7PGbul3MM;2ϗwnt'mnwS{7Qq7@!BIq v7+a*tJz`J}v Uqr{KiDn;Tcܘ{χܪQʄ$g[ܘ+O}n -CBaԬ j\.bA!)PW ׎vudCF%MZ T9-GBH/KG ]CN%{8v?ckG(߂ޜ t]?DmmD ?c)vTkK<\R馪 I+B?IZ?%KiRas8 ~?ݏ=Zoڷ%g9\VRb؈oT?$'RZdq}vc`;0UQ-{cj&GؕN2CI2|kt@FCnطEUI9Dv%ՇN. TrX@?C3%+O __q NܦĘd߽ G3WQ- n^?t}]TORIty(`痷l@>PR׈, ĥvn>C67~Ͻ Qw=Q<~mԂݡ1R{p3tAt<,W}YmvCi9hvǗpⅧ&gP9Nם<ʱN h|4y\itO G@ɰ7xE^CFK@.:JFב!wskc|6u+W {#"p#q.H%V>kruF'h`V>~L܇DՁho#Vy$ǰ@78MO}úK@/ h`2]; ,ALݽDV ~:z_kvKwk6<q`$h=?y){d1oQ*lVٳoO1v'Պ6K}bginn {Fk[! (—KOuvtDe|>]&.n;6$>sPlCǚ@$9'ju׉c Lxh{Kj}$ ZHUL܃n[2@hMT46w^̪Br ݌=6c%RbѪҕ+ k'0GtMl$<;y ԡOLZqvX@f+3_u݈it` sKD䘐;]kE)5 Ő'R9 8ɺY `JX6>"ƒC5}5gەkƱ##Vdž@8}GӴ7hd1wG v;MNH[Wɴ141#nfeލnksnD!%aّqf;{ .$3r!j k3s{y-^z")fCƄi>PzEv%^ ס݈|Ҵuێb9B^4Pci5;{{;q,mMƺ]xli<:Q;-rr1쨇Xٞ<Θbϸ{\T.6X\ֈ`: sNv}6Ogw0G& NS{ 4 7>红^l^`u UݟnN]5kDCD~ y0ELlh܎>ibhbNnL2T1~fE+qn VP;o3х]xq0A%E)چ(nӟjvf=hᱧY􎻗d9x.tx[cH%m^C H UdAIDdg!Ce;  Y 6yn^.NC~h OA62OX?W)7]w9G'̣f>EwTiڬۉzacTp-k߻h:0V*9\.9MZ ~sT\5qP`^w1%\U+~'˄"&3"pxvNfC%(# `?4wqB*%ZtUflԫ9g [?IeV `8A9VrT*b5?FlBE^yjH#Qc}nf_ʈ[˷|˃xg(* Yϴ[)...ka|nk"G}[3>æ8#ٍps{@7a~;HU|UZ֚#q֮8+83~l67kҁ Qr*X\1r`Yc_?NEbZ{^*.(m"ʒs2JQnj͘hH^౳p*{Q KZv#EKZa-Nw"߳ jfYvT#+ 9:1g_]; CqNb9 :̶"Gl5`sx+< $5\Dl]O4(6<鱾D5D.GN,lECH@N.k4B|ݲd}{ʥ+uUp5Gٗ X\x˓Nv F<̍vk8Q' hpvB6XeEア[[;$mzN[[y\6gtvr7U/ǥ55T`L H1r3 oGvYՊZ 5#zn f rYa[w[N U й~k'ҥV~H}YKe"\co@HYLR:нDgS뵂sd#}bT[LKF,/OdzlcC^vA7]G⻞խmlћXI?Bf|q5`!QZs!ǮùQB*ƻji-p0}hpu NߗSݘ|* }Ov@f?ܙfpxWOYKxk o0ZA_NȮEw? vblVo'U ku= ;蘎%bp,Ma?%W`jJUZ'l?9Cc[c44GܗW~kZL>Z{ C:I>>h78۷ph #@ e:辬:m,Gǝ8高V#l:̂ag@ Oc}.d6;$_'@^j;sD h#ѳgNwY =|glP+d=~|=ہڛ`c0~dtqَX}GF'^['8Xx?ʺ.]MԋH$ l@+;FOm߰'i]g6]썤%HSձ@0Z@>yh}n`d ȇ{cʉYa4)h"Ht).sZD8#gw3KsH}PSձv/m<|x,Aotܛchs@"qStla}i-d[_V{ϝ<>>z@ӞuSg1W]o=)c%t?P=6yS=æa=KX5Ht c;sD ۋK2 \*k wGBL[a5^lj1p h5:z:UE@=DÞ2@$\Ihp -#!U_Y:^-q@?9XVh Lʛ3CZka {ky*d2e %;26̤e5I(΀a$g ;λa,N m ]秂v;@e@F yJ=|'Bq[@mvacj /4hLT2etVhPuݳ 츦ʆx$!H[/ƒ}Gt'ng١ vOCyzh'_:Œ>to_1c4:YG*t0GmYAZc~j'՛KG̃ .pl?d{D z5߻`Kqe,6{ʿJL6=êHbz?۠P>OWwҏl-Vo۞&Wo{/2G~v@y"6'@5z1o>oOCݿީw-nȐn-#3} z=;=>[/u #V=fw'!Os>6 }e경lp\}b$@?__9enki2#۫'td52w k>[U?/Oѱl?fi#~>Z+8{Er~~)e0G߹W8c?Yd'5\ȉ)r˰s8ΨR[nw%FJ^aiw>֘Z,oO,u{i=GӋg ߌ}tdci}7ưG0 ?z澳[ @tjtWEX-k "rX\||*1-[syrԗ p:)y4]Oumi-K>#UڬI·9-ϊa]X69KnO~ Fg VѺͧq%G:!O=mg\n;`FCF1|}.~Ĺ*sap"<t˰hJ zoI.ʬ:n k5a9K@dA!Wd๵]6eauW:~-Mcmq$ Gr|J6qGPMvw0!hS5n@s[G X}#ߏ)yswh_9mM}&}BjuQ @{$rzqh/cw:86w0wǘ&.C7֌m6h:yX?ұ<8ntS# >XZl5ۀY,6P`oW;Ô|_ۊr]:1;6DGv,S}5sHkiǸ9w3q4jR^.0}#̧FzL|5ީ#R$x,'a74sx~*%]>>˜ZHkA#LJluaS~C\V`OwUm ${Ys F}J:?ȘwTa\R?U}01.K4+[+tu"[@k9V;xwY \+:#vN'G08\l?N,$/V2z.巔œl0495~fδ]8C// !O&ҭ?Y;RI\ѯj1l<9e+ x8E&Ҳߛ. y<ş  h>AW-#U_!ꜹGGv3\'~ ;Oʦ#7L'旍q#3/:pf_IϚ+VApQ#֏%0tD^_\6ᤙ*᫼'rpVcC6U2xt"Eo]KbD9γrK޷ZdxZX*±kxM.Nlvh<)O**Ōch`%)=:( []O.VS?b qDnSoi\T8ԀcN>I6hfgyTW[ӈk RV{DDzy.ݰ.h9DUV6;Ȑv'Db_u.@q>ҭtކf%9^uC39GwRl'=;1z݋Eϣzb_" } ̲ I_sn3*5cˉ'Ħ̣[.CV}z݁ \?S~ߎ쓹pf;p lsOޟtO13_c[ ^yJn츾fzYWpx$䤘CR&0c2AW_mu6c2+q*'Ze4ryԮS^S-/lr!k{Goj"+ŖS UIs\$&?ism\JJc647 ~7何snMNe H`)N%jrBuU_%@X"'6Ag*k\cv6gz+kNhv`3$F)u4p=3ۤ$+L/ooӯqa;7^YTI=q^tD!^ ^*k<5SV6Hp.7[>7v{gH "lWwʲ ßC 7,6dgruT֑'U.e%3Sh~ 1A4KѡXMs6ӧo/5[ƺ-{L9ܶ1:s1emg<Bt'[8O#MJV(}O^O9P({`ti)(\?,w:ul&w;qd[εǞަXͬ< Q4:9$K[ڱ|s s%7~(NV0[Hli(La{G$Z88ykPN"h7ä۵bK sMahKSQzk]h!4~ީN|.ڞckAD5贺oMUi5Zts k!#lΜ.h#ݠ.x\g{&[χ>AMWy anq\"FIK gN7n?:xNh]nݿ7:극|# Ko%mϒT]PAhg&Fn/RAE~iۧ-ݪ[ls}1 htZz `k=?zwBJ2K獥hgο:|#U3sɟW!GW>E{ ݤw~U6k`a*W.`03_P{d> ϐNE{Z7N~s<2vo'۩uF2ci\[R{ G_oC̀;NwН+!Z{S?C*qu@\o#L%Uhhg?gӯòb8,h67^suRBsZƓX~s֝HJK62k;[U:;vGL z赠^["HnѝV=vq g"ܒBxVqlcdEb\hjZRIJa>G{Mx=LVd{kߴoC~?7n/_w{Gd?[=-ߥw"r>@z{}䭵ٿm_Nݾ^iߪGFyț?sKZD wЭN1Z̐шry|Bu [Z#>'b*u\cKXѸꭦY༑$BGra2 ɓ+jU629Ť @eh^1d~Ll^eTY]cxB C|3ml$0mi'H h[Gjr1~+ zyZ3lS1Rq˜Mχ&f{~?QۋO9O֜P1MxPqtfߣR>DlJg1 ݾ]or Wl0vU#thKxۧ@Ϊ}?GnKvQmo䕪h|6W$`74?"@n?b0>4_w@z۽9=[I۷9 7=Oo$^Tz?awҝH[Mb<֛r_5jOٲ4g>= <(~?y3ƐGDwCjٕ"O~?KT )mChI ̓~Tn}ߚ>*hzt=Nb?Y8s3Cfϵ_Dv@@gfw{nt[tTT>jn~;vNGٸwnxHۨnS`G9"w׿U右;6G-OoN%/o~8e}?Sg<'ǿU9«twoGs}Gf|_Wvng1%?IlpɴĐH~wѕ_C+SŠ-tuNVH+s[xPJL mдN~Nӎݤ8?R5?`o}ā>|"Yc4 9{.kď\g6c2k?*onc3Z]Yl57w\w*6S[CC@2[XQٌphy-692*-<+]W"?+$>~4C 6sA gVF~]p >Cm?,GKZ,һ?!q*vX2pYkjy-@.3\6d^`7N{u R z[j5 %cq^[cCAZu`}6][ !0t<{ *kFNӻ(˧u.z.62cCᦿGIPX3W1 #W6q1Aqi#P+Ew:Natz7u"W̒\:k\A*%lkkhWpa5 ts$8(Ba1Dhbbȷ!˄C5NccC3wƾ}R {3D@@pTFy gwXʘ1-ծ%-;##;,2w\ uSҪ{iq{Ǩ4FQp>YG7ht%wuJ7W2ˤ'Mujhs\ZA Bz[h|>(ٰgw~Q`ICȨcSlatӎO,a 13 oFck.-8ʯe6Ua0O&oHׂ 4,{g>Zn ;AUw|arFWK>`8b?+ho$e>M{;i}ѯC\׽A*1rLN{_p-Z}vULkm,EX{ϳCq$bb_= z?r /sc<7}y7EHNT oܙCH'8CsƛY6$U׿@ 7V  SauI*%$xUF[]uw553ܷ_+wֺ&3Ghc?P}H |A*OڙRw_ @H7z `{ɁPr_q{˾`̫x?H|SJ'8g&¶Թ$?C螭] 2}mP^Y=زtoO.COJ(k5YY<9<Ǻd[N=85Q4#]mN/_u0&a#IFWOg?+ԺȒkI%vt YTT!$9F݀=xߏ$ncDxoCvU-uNb~˩my 8x$aZ;BuFiZY]B{fUAQ'TCeoatLެªD{A0SCi F>M^}JUjCoMǺdc>mm{֞ "Nƅը=Ii#y9I*56Rkdž ?7OC5޴< >ֻp_PK]oc;K\r葬΋?WtI .쬏'!7;؈)Yӽ2XZ< =]lb8A43` ;Z5WF:l]5Vim5v9rtT cC|Gt"wqvDG=wڸ8[ n-.Ya8p?4 (~ y(~mcLAK}O!tc7ipAF^ ~ \K׈#Nu~?SR|I'J-ai:(ղ Gnc\,-5cwD8>qs@#i |L 1.6QǥNҿ`~g5ދI>ΌJc {M = q HvE .٫d{Ge$4=<ARs|⇟]5ٷUjl%cw𣟏~^Ih1q:t4rgO`9Yˈ3JmEii#+.׵Nŵ^D5$FLy.ʻ\A<1jQ} H?KO?7vS:ISuVB_V` mi@򁑑]kkK-FϨfu~2KT WG-wFԬtquָzsĭd)ݹ ֽ]Iu^H 2e,ͯӽ0^m nV1~ﷷFn>wlղ!aG=} -?&]Z4ɳǝCfgg=jLW-`ˊ}Aҳ"5J2Aoclqpٻ5"xMku`2Ă<6U+Y 7@.*86:Mge x<*=/Y寝:9?N{Ĵ# A@X׆jߎrLoif9\'_xs$^ P(T';6lYr_@D cm|V%_QD1#~g[o;7O3SK$'>okeYu.qI) ָ1HFF+khNGYIoOҭ.s=>L7}fӫU?l,6 lDO~[Gzd(naָշ'w MahS 쟶Ϣ>pp) . sT߱`:dc1^9m{'O"tS]L{42|?hc Von_jVh n{vJvn cXѠ5#vƄCiMmi} fAAoG*_l7VE@K?4tq\kƑX խ(﮺2XG>ݾ$߳2iZ~i}nѺ#ߔwWNZqѻ>ZGJ=>ͯf;A:jt2Dd]W٘_T9ڷwo 3 ,[ ڭk}3V8t>G샏XW>gƭ0?t$/%864n>o~c7_fgN[ KwWe."6;HK`NgDp78䳖= N7ٙ?!o͍;Nߒ\Cm6籔@3 nG=~*I7 '\>fnn&kuffoGduqXZu%$qkÎU[:Z6$=O/ڶh nʽqlPCk$;DSz芬\=>jߓkldz_vHvW'tgcZmݺ}O%LAm~;&\|r=ѽ޶['ng'dYɒwxpnF^nMg۷"wPrMxƂd۴zX vS^fxV˲Ql}x#4Zq=s 0G+'O6̙I췀wez[7?`l=OŚ=GlIgUIɜIc4o_%}u=NP'ӧA&Jeo2O?5r=ocv{ _[d>h@sM&Wr|Vxʴ:F{:=uU~9.l]ʿ>=jvI/}1"}u 5o):SP%]'v_?WvNݾ+Kv_ہF}|UIV L;}:zHOoɐ|Vm;`5IhU6@ݛ:=m{uQ`N'L=cd?Pew?euݷ';+$~R~)ks5>dturU3O_Ri2?IӲWM3oNs9[t2wnNG[Lvg^v3 HT:9z۸np@۩w&.J]杌}=vN^g;w5}?Zs=&wy%÷OϻtVrU>z=t#"oU[syMN{[qgP|]WZם?9+WkV1K1Mb~ӍgY91 g?׏1%?3B!ouaM{Owװwamŭ% pٯ8"NuVcYh9ЏVM̺ksCbwsrUXZֵcnfu'>p;cNuTzckmNɾ; vnk;rf+ɹxslկ$ y*[6pq(Wղ +'Z:9-hEnOݳI~:&n);iA44wܦcsCjx)<+oٝeoF 2 2Y[M5@XVLD{3ʩXTV`4'XǤQ.<K$<ϠRms9O(-Vtw ii'fwbk["4O1XBGgw7l=fg <ƋSAq|!Zhe695"] ~: `#Є~)ɟSw<3_A >3ϒu|q0V벼RF~;IC\ie?~%v lk+۬ǀX}2^[;<ΣSݴA>|GR6lqq:ۚ5.%Qe2cycdF{|~UN%Eß%ײ4amngTN̹ ]NeUktA.5j.?mU3N˼oVqtCs ڑkì?k}3/ń gBn/wLŧiU`tZ{9?gp5c<~>*t4:- 3BC)dTG*j5<=Hg^50SY%"1L+T[rsf[#Wen%uVQu" N2@t.ɵ k8k}}^:<\d,"v9LÄ|xkźHItuE; ַ[_Sk= }Sf-@.X1woׅ[h#1+]EOȠl}Llxв2on{|ᩖ:{kxd~J[f[kHĘo6ڎ[[y#;4o<)᭍4=ǚYk [ycXktt&2|4<}Ja䷒@ $$WF5ut\pNkeZsI#sL,&cىٵOxvS摿C[~=i+,j_.cI s$]ϯݣs*nUf2'X(gfW\ӷ vP#CIvrGo~ ,"wy-" kMix8v} 5@q+ĬV_ rz|>EV~iН2NٳDICj=1@fOr`%+Iޜc,t }/Sf.1;P+1ڶ Q:zB,5LdLd{Xvݺ>~-_ϯol^l[tr:xsSfts(o\?;mQG.M\Æ|c`Muݐ=w'`tj\~_N Iդ+ϲ}CbC5>!UnKˌ %.]4_HstO"WnRƱf6@iɔ6&',n.MoFvo{9ŪJ=DZ`,u+(Y[zl `||gtjobn+ kDϵ5谂[_%2IU=ǭYMvmsZ&~ avY{G* dmh8m/m<ՀimۣW皱4vOyfϴ_'nQ1ݻVN!z)n,ICeChx/VCcۻf?|SLw+_ azy?'q?67[~u{gϊ4t~>?ݓZ D_˧e.ӏwmt?"/Bһ~sgF5zA9-w"v씀;f:*#Hd^s,"٫$CG6}79LyW}9|%U]C~a_.7$Dx'Ll߫Y>Bqӻf_: ?g߻īul ߳FHw'ײ4?EFަY:wBDGG4?EW~ަ:<hgO'l߫<+nuk ߰$CG4{[:8xW;զ.v:ua b=!tx}޾?[oHHc[/+}'h3)]~'q)9N/蛧{}ΏT&NһtvжH ;!cwR_;muy(h7{:4xi-ݰnlhʹudzmټmt<,~:*~?HSwПlx¾fDn zcYo˱~Kgӟv UǢ1GzdD\r>$Dx`+ݛ| ;}:Ghٷ2A=HΏ%]s>QYf*u#MټtuRcgV$9mtϰOou?[;}GNs~̯6 ;_!?gZ> @mtG\oWMFRk7M;%RGO}t`Eoٽk?L}.>H oѷn>hig!$O4f=Gz͝7tp)i[Gov6tp(+Ǽ|<>@۴3OFs=L6@ ZwH-|7Hj}N]`kƒZ'm_[μ1=״QָĀAO~5M4'^*X Bꋀkb)6};Ne=nj`qeu{@2k5 e sdZtAO귪ýw`nHKK=v˪wجs\;{|:RǴ`az3ek71+)-;H Ә"F݆V{7c\I%Lu*!..."G;T_}M-paQ+龟2ZՆXDyI6z6-?ڮt.v {LVɫ8i-rhcǤHq\ֵMR_ckNVŸkّcT_:hmmyq0HMQg’mŔgV;5"6Nwn2>]T/kh/,pX z~*xw[PmncZav'7-Mv}հ񃫩pswZԖ`:uCma͆AZ3Gc~uwsг̶ $~U`8ȹݱwfdk}\8>Rzunmk\HiX6.fH#AtW~ey"t2۹H_F<uigtfbk15${AsK')@;pnRJњˍ9[A`$8Oխ1r䵲uYƭb=ilGK孢7 ">\/(V:U̿4TÏ8/U{ 9A ]{1[ԑN~A9;%y#6U֍$H*% Px>]v9kI+O?/"3]M[mіx#x q}9 I3daQeOKH1iWT\K/v1{=w ?)^V9"([w1W[.lA?ӏr\:Np$ s7D8?Xkc=`y:`cam`8{8?zsFY9.X`CxIxqD\1LtjG=cZ,ѵvZ͉FA[X~ͣ",7},,ik{3e'/S%=0fSD9B7 ǂoégW:^ƮgYMcu?gaS1[k}155`ܩse8"1Kk`w,+=;پ NvxVpn˩n}۵ʏ.aYn2˱Q T->iׅߞ?ސ};?_[?rp6 "~u65uΓEi q~U_-ka18ψ zT'SI;kY7vH|@$x[W:jl8n5S=bR9ŅV`m{-f"[~ݥe9o#E !̓탣t'_ȍ'.T/#Fj|aka!ѸPuZLlp_{+c۫ZwؓGdDJeM@k?C+U5kGe-4qo1N%%ob#?''II3>OgYhvYwa4Mk|J2,V_W|*}P9xeBƔ+C̻ETt[uLVQG/*?0ݤq,A $T`:jՖ<N:S7Qp  aH^X75{Ja4u +% >p)cB /a_38Kfdd}7ꁙscmD;Msyn%c6@K\m/{ q0EL~ G\cDufT+k~]/S#ܡSvq<<9"iWRQ:Ø׿nΙp}ݾ 'dUsdUz-9ƿiLѤGgk?%X h>*Se8!U6ѿ?vuY8LspѦ wP͍1s$ZՔN[Y4*o{QUo~o WяvӿU==J뱖%qjCO슘ku^8?䀾Efu5c˄?5G k4&\LJ}XSk`}vRUn܎-֙`ֵ̓G 8ْ&ѽaV2z]8ϹW5,07:=аD.oT \ݦw{t96ƊkٴejaZcc:Fh\'o]#6kF5R%t`efWwZ.0d? Fh^úađ;OO!(1vdY}9>+D9O"s64keCHZt|{򁭣h#_5 j-IKvs@4~MP:H o0;ڥN -z`6ywZo茩;k@0ec{P3$G qcٓGI;et;cZENx lf`2|g{Ws]HehhC[H[7xvVְ̒H<+\o,+S}IŻ7C=7z o׸mӁ+;[Tt}ጱiFN9fvjэMV{vn`+ ٴFsWm`߽4ž0 v gWյڂzq$h8} ^sZ1+UXvl@7bӷ~W^ծ{Z4ga=?\{LgqH;gOutCy#\}'?DzP6d~`H/ _s'O)Μh).lUf?΢G_Dzxt:~|];+~,~6ӂv}ۄ<Ն8?? P=~$e&ڬm٥%UaoN΀O*\}U&HF4_g_k??Ug]S}I\F7;Uw=-79GOe_iddf[g>ݻFv?u ٣=*5~ٯ N)fu d8 c2`OmSnVOG:?F,]F$=)I>*Dv7 ?Iמ?G{(1 o=/V?OD?G:?HY'nMiЫvY:3z_j۳GήA.٩Yk]vYz{ 5gSs*2f'Y wؚ=!k*>?f?;#_}ߥ;7؉Xw~5|/6sM5Z.u'aiH5G{LgqN%jXtmf\|pk !߻Wcvk+evէjn1ݻeZsU_٣${{Ǣ#qiD+OO"=Οo;}U9i@h;:\kmggllGKtF܃@{_ up3ϩX dW[cvYOO8mcjko{y%.MTysIS׷mۣWll@}01@cձ8vݿ%Cd08jtf:*ylcm%馿zluc-h`sa8DH7<7vLWn߽ۢ&uwߒ&ȝ{sX`R@{8laKೇƜ#pv·XqׯOKWKqQ*O2aL1W`H1Ow]w!4z~?Ce2ZX\H#gƹȑm +4ϊ{G5@cȱ4ړ#[-n`uF=WntTZ#C1cúKhӈ3S1wm~NTS:p^X  xPjumϪx`k F{;r]E])d=_%_]R 9L+FN\뫉b3.nײX!K#ZY p-'M$NKvw,h!k~I2kLvTz:F8Yָ=ݵhd~Xo%/0 UlhJOcGd"<+7Molզ1Ƴ>ח]0D+i~hy$߼.)tӡ?~1e9ɱ\Ѧ8S;/TEV9!>_,LAԟPt/%?YƠn'VRſv/iUL5+aӹϑ<W@ s{ҟe8嵿 I95{ZKKXwAxqih2[<5i粬uςdOܵSU<\`:=kk64RRO7c_<)&~Ѳ۰[,+k,G ,͹ JJmI% Z5k !N!+kHAH]n;\Ӻd88h{Ukмs\KVwP A$AH?%гt:h$?OF'NS ۯXIl\%yf=AcOAcZg?t4W.\%R>~kd(mhq k?pf=% tێ07qz*aYc~>>8̊d45x"w?H<|^Uh{ݧA`|!S?(in;$x*o^Gi+Zc!q_Ipc=-<Q't)\Y8˚ x2_U^Ȩe@hؗTkw5=ԏ)u?ggh!~ lQգn@wLv g5S <|zfef5b8C=@\ k3L28 ZN8 atcLOֿҖ2 O:ge. ycZ<O 6*RӸAԫ_YO 3-ic6{Y^U'`qULY5t?۪҉nKa{hx_5ck+Lq$I֌Au)D3>OT4q: {T `c'u_(Esc/!"wq cE״ Kb]kLavCWhcl~IFNCjsqd .0VM5}mΠI85~݅ 4w[]'an^;T-8^hf_Ych-|> [2CZZK$݃eeUSv33-~A8E\%h:JF71ޫDHa'qo9XOocnց?E$w ۘ n0%Ge;qe`KC-d<4ۻ oS'Ck.dl}aP[_c~XwL5qlD<+/Ķ̖E/HqN㴏\!~!ŠMK\Zִp7XPVGgv?9Hi1f2sOVkzr[ڀaNpҿEfpxmVav <k0 s[@@:;nп%cq~.w7Se:*#8̙guEdT CN$^0U'IGN\ݯ710f;.bݞ '}oURm1 I<+].u ^Me :*S^nt|h[Ua =qv?O-R#b58vo\F6@הSk/$\29%C7mU^b6܊v~OOwP ci{*B>gln4kHzi*ijw9d)9#h H~zk*W}==J#9VIokX{?DzA]o>=hz?7i?%_O=z?VoсO4-o'Κ~H~Gzyu^JDƞ_Kt\ rXU?Ӄ'#Qv<];'~)?O?'gߝ4ykVߣt8󾞞$M.c_>)TsOvG?geJ47WooMuJȁ?egp?U}ߡi N?Ŵ>_G9( o{ҙ7J?[w~xDtvQ;ף~Bl ?Oi_4G?I?Am%!|6?X?TIcqS'#$NSf??E#ֻ=KOy!~t* wZ}GOg_˧t!Og669*?z{Z_oOo?Dz^ooM5J׷ҌlsOUYM4_~gz}ߦzkVӒLlsOoUyQߡ4_UDƾgfFO˴?]>?Gj?VoiHG&NId~Oy)8}ߥKt4dJӞunE_~@5KOy%vQf}{Y1@;N5_3{?sJg׸eCOʮI5_lSY15U ))[koOo]i5:};(7?j63=%nOߙޟx*~4:}; okdϧߦ3?y'5~ϡHBqHH6-?G69AOG{tnP}NKtqX>|~;v`4:~D?~~X~]È/Ӻ@٪)yK٫Ak[.G<'tKw<Pl~=#(;ZOcJ&Ň@c4[.k7A{]9ffIY2oOeZ~eD vnXqks aZӷss v6!`*aP+mn.hQ_Ì@̎r6C8z)>ǴĽdžTz.SFnLzctJX kd6dOBB$ӹhaYst nSwp>+7=o;w]e m=hnj;tvYc\ #^cPڜ UV @|&䟱N[Hkc^rtn$2NI>vx,iOPX־N..=ܦCŮ%,,x$|k'655aD7w4}W1kv9C#S[:HTxu5lHϮmۺv_h>QԪ"Kvޕ/stgD z5Ma$n,sbùlk<:$ Z 84ʁcѣ+Q]s)}MZ]?*Π>[WS_AucmͻۻG{S۹OQlu m?L=ŮO?\c&U\4UvO= v`^5>F ut싃N M5Tot <}Iݎ-dvoJqܫUOnCɉəEۚ#u ;d@-ZZƂNdnw:&Fq\U^&%Oc瓸Jң{0dy Wdz !(d,}i;[I],\Zms:aP?#*Z[-q!ֹ<[ͭ1aIf"Ef5׊wKd }B{lC43wgRhx-;z~{98RYݯիh Ge:U=rxAv;$=@qϊmYcm8k;H8n\1q|v̝nne{\!{FO_kk1xi#;hGIP Y0$׃>nmQ^n6;Pe[ f-+p>[a۬{vCղ6 b<;9uq-u۶D9l}z Km2yOxh&ί[깍7nv?>DDE1K H.Z_um鱀|=k3tlbXzPָr {j*5X i‡E$u=`qkvv?*k'_U޿qP=-ҳL\ePDUl2ComΞ9BgR4?ޣ]yfut?ZkkKu$ZDsϻ:}LsڪZuyyOk : ;B%tvhVsA )6溰ŠD46QGh+':މx`$H^ȇkclF4Ӣ뫰 ]-y ډ .Rÿ62G~z0kAs@*]ܦ][mo];B[3ױc[?G:&H{iⵁk|MX)`[fn{OV;myi!q^N:ktDFUw+ggWF￁^;@1׹Hh?e3mXm>$n ܯ3oiDNnL6:2%f)iOpi0|G7zsI;KA*yTec^&Sݨޅ5<>]!!ǙvW+=KKF USK+nHm$vאCeQ=4cbT2Ak7F@3s[֐<0|v=Xpp#W3ʱCv㽤04#ڤFȑ5tz >]zbz@yϿ q=tA"sg^\ eUAɑ#i$cfʣ:>]a xu]w.ݩqgP=BPSt4Nϫ78oݛFfL~}ŰƗ8C f!C;xi?rı.kN_fo2^t}c覘 4HoQ{jSn!:RzS:0|vZ*閖e`I;H!ztzwzlsn+ >NNM~=A {NkPm:D9G?P hH v(G[85jô ev;6 uxYuHu,vYuPwLu!>i!iu+ǵ8l狀h T^SpuE H<+ƨ=ڽڟԱϭDn=x Ky-058T m#ݴw޴Ӧ%qaA']mF3*4{κjbc8XZ #{g]n $ ?0Hj7im[Wf~$ $.7Bܜ|Ksz>Y5ͳw]>_ާ~MC ӇN$aI} _R?IټiCeȝK @$.v+) ^@-Ύ:i 9!DGFҭ;l,,t-܆+4:aA5n-0;lv#N5_=.'[<lg8I=|F"kl #ۊ[]t6Lm }g䥗nN=Yc {h@hOc4?u'7yARaXYJh-X_kKLj±Ŭkcv>^.Wc\%_:nXޭN ukӨ۔cَu҃t;ۥf!&%Chki5D6XL`>=i3Rj Tけ.zn-0y`fu{5btM%ro klmduh> &a#!XVՄn{Ǝ|{8Th\h[?c us>$ &?cVW۸݁*x}1wc+;fAy;m }R48"DׄNwm:f0v{}ՀC>UKD0f?[yd<gޣDovG_L?q ǹݏ*&361Mx wz&O?Iݒ,WOW6{}׺ʷ 7?H Un5kxhlLgTļ[l f냿J4> >`@ V)p>j~՝nZ|SOk{w^U}@ݳ5Q};dhDOڿ;nYDj'~Fʴ[gګh}8%JYW~hG,Yvj"7G#} {G=Jз'vE~1۫c4HbO'Ó"?G ;L7Rw8#GvK~ ]9?fK]~s?49?Dُ3>/I__jOw6h>ϳ;|}?$۲a]NT}ziGlX٥qdoF_v@@5Ucwtޚ0v7*Gv#AEpx`@NV[M,߯(W~cgq߳;|}$OehG@y!ý6;ooMuJU*߲Gv; xV~cfN5yiF!޽Iۣy*o ?<]cnf4fz~cnK~WLòKd4UX?bi? yVAgڟ)U~;~#Ӳ[p~?Y45V7M鮼>?V1yW~CӲ_du ~84hc~wAowL0HLV?7i?%̏O)բ1ulyhYEXƻ.f;I3SY.?2) אppZl=Hܔ<ՐS߂u]>[_Y8ݝwn@fokm|V(s\2[ "{SY sg{Ar8ӖH,e\4t5}ad>R7aJ`@C1bkKLD4Ƽ[kĵ_o]J?IɱRi.kt`o|ASxc{[U~iCmxkI3[@ݔZhk [Zk6^=1 27 Os&9 [8WWƛcu.,͇Н;=r2km#{u"|}ݸ7pF 'kou qaevit 7w vjF|,>9I?+G4^Hm!Ť)I=ƫ[Kvlu|ޫs¡]Oī^][8 paw>. 샱rJdF3w$5xH:,`fORa;nqw:=A "cRsf>x3$U.e9 U;[u`7#AQ4Y #qpqSn{KAuݯm^ǸǻQԈвb vY#0^\DFO?zֺ#HAf:M}PN%\d[끽qgϔH#VPGVAph' Zvnl~=u֐G30#AJlkX.'F髳jޡ{ZA 9(]٭_]&kkG:KĂi%tW313U m]FG;ϊ  uo8YTQyˈ?$_V0zH6KKS}n{ ?uZf|DЃϜBj0,ݷXiZѸʽ[WNclYӬ=a02a}_.\|!X̫m;Yh7 mW''MKT3]͵z7oALO􃗃]fvAkƇJ};ҷFLO+/W^'ӂv#m/+:}Ǵ0${;g\?w֏8a?J#]D4C37Q?* HRXn5./N`xbIT}gq\ 6\@VUb6 O4oG$ +n-}V[}v4o3=Tc~EgG":'#<e6ZGΛcg5"{xtlqkt &?[UcX}R ~ou{H80 #􎐺?eNZvzp l3cʚ{XK*5,>ڙ0$ȰlNk+!r~Xq1˻Bޙ'{kL.;~2CFZk>+e\cHq 鏬^v $qG.@othWys& Qޫ]*n2:y ۀ>ְek*Ȇ9ߤKI%Os}!eԕ}iLH1M2#f9wEF6Sm2 386\{2][#Hkunw*V.eUWNC6Fhu l30s}m.y6UN6Mt{l4ܝg"ƥ"G̶xv|WM,α%v ۠c]'%]h?i7iZx81\Z f$8{ `,uZr@p2UUv0Î!-uF:!8Cx kzef蹁ឫ'AUt2$lo~OanޙuhLDa/z$0Ǝ>Z]O1 XP:iwTLS}SX6 HƊu9 I=؅j=R2 :#x е, i#DнYUTA@\cn5Xs)Yl5-l196X%hcOnn^]׬R%17۫_Kv>5@߬8K_ML{[MVr7i'vx|7ToIӪ\i؃5ݎW :U=RqnhhC4eUU%``p'kDOc!c \{(>M4Ѝ%YN\HOµl~si>J1`:8.!|UCK_LG-?8y'Rk+}uFX=f٫B<lHkGa>8hѐdM#k`a lj }=fi <_fon}{>D 7ԙ[so]cn:4PُnU o2)vͺi|R#P "߲}AyymhnnG*Y'othQ\1jmly z#U #۷c~>:g`>*N7Q#ߧUrL>O[oQ>z]DTqJx)w電o*l5wl}Vc՞e **ݻ!_ʔk.]n횾આL>ٿFF(c}vͺ2 +FS~% ?gEۿp"<P> jL}|az_e?;}8 6(6V=v٣@ 5wl}-yR3ٷVF>(#=;{_0O 6%=f[{<mGD{|C֫۷!Gӿ.oȤmL5NmwQZ9L=iwto/`'#|QCm8V>"L9~Ż}|JG} R}Q~rۻ`;*ݻ#fC j fG>Geo~_ݳgЍg jLǮ4|hTGW~@'`hgٷVF>)T?,5ߥwoG%:VB۴thQzvO%_KgQ?IS"0Nj]2vo}.V|_4['O' ͳ0C={D7P>G]31BVkmn%=H?m!=Lz>vݾƬ>%^_vھ4wHئek_ϱ425hKWvͺ25V;<>?Ž>*#ɰm;smIۡC6d@>}Zbmpкgc@*IL}Pq5 iѼ?N@w}лZ9NGuC=?[Cy`yw2@3q)!<*mGw}<ܼ?pG%{7`Sf <{*'_Qnv0c r~1Lk@ûp kk\0ԘM-D.eYn寏Κ=`I~kK4>f[60pqZ5tĸCf{k:y/Ń)hg>ީic,6@⎽nikq^GIѤr>+ "dYmԒp"$mFM$:ӸV_A8C߫uGcfv{Y|![YC[#Vǹo! qx׈YӬͽή!2 Z-Zf{]M&WF21R͂ GO`lJ> jqChk+ k5$NHg) cmtW]&썵ndr憂d$,MMRrY<}->3k߼5qJmu̮TDnfCۈc6q|FGk4n s˽:|{.º\].qݵG^<4@F6h\a<1-#wk>?C[kfm 4' c1( {;wr9 '6]굾[k9wT{ՇQ{6Z |A(;i{ĵ[`}|ִ OUX68mbAú-DI׆eu"ӊly(%ķ1ckuOTu|rK]%xo^Y46G&}xeq S:5̺?|.RՊ\^ۭ~?CE 1ہ} ~J5/ dp\b=ZCxcRa|cPCV= ]VM7{q"7k'R ZY<ŒV pκRC n oh#_O45AwdV{ oxa"Z8>K/2Ƽhn5]k>} jTD7[!ì>đJ?waBerV r=-9lu/m}896x@ӻS*!jx> vCfO(:v3*>xfg^Ωuw1pD{J1 89is>P"÷P!#XzΐZr_ pf{C[˶áqU2 \,|eL &Fݮ^m]o.vEgsdprt=l#>zZV/w@`n]@4ev@:g{|Q4R,!=6N^0m{ py~3Vc[Cj`n;\bɀ?HlnEa,dO^uv_jkmoĺDDEOyt?E~G#%5wingt2( x?F⚁FGʟ!'*>?*].we[R5ot} <6]>:UN6.?ڍzqh" qzR3c⺪pvclA=11K~߀E^;\-sA`]huݬp|J`51Tx"LD[loC\őfI+3Www&$vr~}ia;$CP[eWѫ͎hѺ7I?M4<^%("zG7v89 \w7=%؎|n%4WwX}V A!߄#SK[.;cN)fKn:wcY?tf:@;{ܹ%Ƀvxoyg>8I=-!p@2de jcw ^RK{kxI]fWd{j'?tq?Cv ky4LR|^ֵK`@.4Pɡ^d!tMWU_mOMNsA;EL-z01*m?旒<`UϮ C]]x ́qtsg,EV۶-̗^롈)dCCD;i=Lfsl0Ai?ymns}DLGo>U`}}glh5WkFN`I,q}Qʷkk=2fhxuzyIq܏%ٲ78"8)fB܍m#:\N:YȤL$cşK%kt=o9gRR#w8v0ɍHit+Mm[봵H@NJ.d`:'⍎qZ\cA?4}bDǣG;}nkLpqGDzġv44RϞMMy5 ]a. I*eޞ͙L$u|b#HZqmVֵv÷H ÷!a X;]XX=2ѹ̻4+_f+ u _):cNWa-`psD3JuLAֶ $x+_U/{-cpOGշwNçti9z t?g@5s'3ݷy΄J*}En!y.;[GWCr21-#ݤmt\U4c F:ȬczTǗ=<,&]8D<:p`n4lco¥抰Mmu@ul?FX/X5!mPCA5nW#=@],q mkCgk-ѬHѬNbaO~tʸ=Fzҏlx,f7>Om'kk;NaqK~t*ۛǨSfY7`۴==v5ގpw}'n;۳y#Rg hŘލi j#k;O"<;R`/w􏒛Fo6Hit16];:5#n 0?_WnD~}=>D Ȝ6G|pIy-}bk: n~ >-{}k7 Lģ_ʼWp<%Yk2gYfYoF__q,>l5ѯ[&gonIΏU 7;[۵wQlwxߢ\ 㽾[<ʴ[h[&(9h<-JoOxmc7:^$HSvsQ7͍\gzni&ڀaS JFUn-'nkcV۰[ a2X n@xv J3~]gΕ\Zh;8DNDOgfчK: ZC~@bF&ܟ3i oVƤ)~3?ݧ.5 6ӷF2]܏Ufo٣F {HݛƮ3B7w} pwބ-OD܏[zZv:wP fO[}?azð?za3WncL >1fȹXm7 7aPçQ*8־ʜXe jU6v.ʲ]tfq'=փ/f+CG t'Kuube[H 7Wy>io%SU2I, 8h7=E=TaL} 61:+_Wprv?ҩ< mqkH i"a02r:7lަf>3to+oR780I-;#U{anfd_Z>]m,ׇ4KZdWIl#]մ"C "wg[fCGynfQ ,0!+2X.z~{Y" #~k{q@ ۯi@uo~#/]YKkfDZ!N+7󾊧l,jԈ^黧T9 %K#/MtMbńŝXOލPZXH<|>Kh;-i 6F2DOu?mom>RzvN&7Q{lka-?f5\Hm4 +&׻1ĿC@V@t_fa -mn.+-%A>h=/Se!ĝtq\chtЏB^a%sl8~p.!Mq}~t`ie{f%~ \R~ʚ}@ ?4#ԼuەfEU} =]QTq쩥vj#X솖Ia& xw1ĝZwGxAgHm`%hKqvA-k}Z.{h{nGm;1o4Ikb'Qs,o"#cÑ-{Vj#)h"E^8)Xu G+_[6L<;;]Pt0U{rHsZ]ŭ}Τqʷc}70IAI,@)nȪ;h>>6:x"A${;}Zm}N\`4Btr36d]"i#[Qufmg^I}{Hx^8]n"g}`=ϓ)W=a-mpƍ< )}xbF||m7)}Om.͠О%QU0o#=(g/(]۾MBOZﴝLWg~;~/(^@^~-n& G C ߵy [뭭kpm4V$: l}Y% Prۣ%j,32$6 Sܼ55[sou*5gƀlGrkM0ycX=:̏w=)'9a&Jn[k w#?޲Bͮ% 鮚v*T6oݧO R;Pw}9Y-ȯm {wiC)+}uOs5[|VX}/up I.{H*W@3Cm dKPQZdkjȘr+:ueXdN!*aQOLw T1 %`zvl;D{YO_T85:~ݮp<#沙MCp/ uVחm5WMp`$8G]X=˝a캭v*廚up2aߍd9AuT+e 1]x)0`-27E7P/˜]d ?O&w6ƒ ٘ W'g+io8a]SEc]^;˝]ĉOA |'.7kݮ -sA9Y- w<&4RMEI?Բ CZ$@<2vOJf]iy'swh~[LOޭ杭}k.p28}CE:;7H ה>U6zš:e#ɥ8 Xw W[/"I*NA<%Ii-sk "=G!db~ӱZ5n"5Vr3I!@ f $^riaũs`5bgY_Y \saM&\sh {4)d0DEkO%D4HXCu׽ c;[BfFu 9 .[j;[Q1ۚv>v '݈s(wckκL\S.멢: ed13gGjtxjލK>x1)11[ӤC`}\Ƒԁ*ҫ]o"G`cY53E6kcnuGޞrK/V;t2~Eގu·Ĩ5#/q쉗{qvߺL.sk/5T՗zN5)=:R]Iujᤸ@>k y3dM4ZM޵c6D'f8;zȷt- 沷zNCgcl\== ˜=Gmv?K(7ֺd@#'\菲f=kcK@DɧR~.N[1*DZ&==4>a"V h~7ߖ)9CIߣBULo}X0:>:U\ssC/Q @)o%8~30[Ih$O ~%csVf7#P~%7#&Vgd~ XjVf~ٹ~hVMo4ұ5çב[wr~ Pd}*4ֶ\# OmulND|֍xٴbY0=-ڟ9+ 4Am{s{K ?..ew}Qw17#?;BMIZǦ~TIYKnG~#ұֈR4}:nAz:u$j>wP ӊ0E!:8}O"8 .[cCCv2d?J9u~[[cvgߺ6;SKvG~#ұ݊+C_߳?sޅWY%gnG~#ӞwfF#ҵpmn:΃$JҢ] I`t7o]ʭk/cl{i:ZcZd 7t9P--,.F'|VZ:zl1853}J$5k sޟ}JuքЭ~Ͽg_wUx5\g NgNҡ:G/@n׹5+ih8v& !cf徳UM`nBu?5@hW[h<;F6CaeVutBV;!4+zo?ظ|:G:Gc|ЗY#zV;I>X[D~ ~ſސJtGgAbp}NEW[>9۹B7V7AΠ̙;%k]ɔ::K qGPɤy/ݫ`ڍ\[ Љ:ϐػ.;q.|*̆O7TC{$ntpeKj%2ƻx(lq~S=]Gmiϒo]?Cmtnߨk ,E1l\s~ǂ~vIƐytpkl%czM:zӶ db0K.ٮZ$}"4R3p[0D3bNSInSd@.ӌZ>>k2idYט |ʹ6=< $9ڀ e챴 %]$yA'm+wtJ,ccgs4Vnun1:u_.[,1 ,y hLZn֊K@2}~*]/#enߵϳYHٌI:FKzNEYdmn$>ΫX@7(u,LK{zw[~YYmpqƽYx[oJ[a o!Yxutd\K\c"!fg=SfVz}AApiGC<&uV*:ZMbGpVP❾l}1# _AШa= bH`$X,@ ^Lx-oln*9i>g',G~ck"DmG!2,,yqh@|h}7{sa25G[]J:vCH/ ׃V[NɧnMo7:>8=nTDMƽkUnsAw(.qOQ YHLYҜ=́&!Wl荛zdϪ"gޖY2y.2pi6VpsDžqC`K{9U:u5e5Zd1v/>3bť -Ss^ip>"yHDJTZK]8ـHthoe]i7Jie$%ʤ &"lcԎ?ԨQP 7\I͇o&vwmy1n7YUh|YC4pp!#y%S4t?"/Ʒf:SFo%ͱ>~ߑvX:~N]q{t㟚XpA?p6 S,xfگ݆@iHN-nkhm]sxmX¦N0wQqp!"u ,w7 qoSk6XC2A7 (2peR=$}u7ght'Y}wvGˤ9npNx:"5.]y`F-㺮s/{LK+ւ4qطd}v:DG:GH]inUnH; GWن&5c0Cf6 cH3>}u\,$9B\q!vK55H]]=C*js[2[q -- T+^@77CZn D9N4Jqe>cY>YX~E౵vD!4*.vuc8 <U Ȥhy6P4oO$j鳩m5§9h7m۴]=6ci_ޅY_k$ d5V 7n8C_رhYo黾ּF?dS1;Z5qYG9x0qqxFbzpi1˿[ɊIk5VbjF^A/${4EoɻԴDDy"vbj~ȴ=;_\D3|EK?gzsX==4܍,q߿_Uz|!yv'ZFfD6xӨ閆5찑Kc4BkHƆkIǵ$yk[^'kƜ|\~I2TYmxloA"aXikC7i_$tZ]V t@=tw)Q꾍Ůf?c]RSr-s1F'">$ZJ(V6Z\cE!OfB) Bz7w$q߹ܕphVQlUܛoK+a(VOvKo丂Z~si.>)WN<`߿_޳tcc_ckkԁFc6 .@$Z-s@8>HG~hfzoio}h,=*p)x?E2`4z~8n%Z|U,fQ8{Ҡ]ҁ]'gƥήZƺk{Y]Y>T7H[+ w{Z}D|0CMψ,1[svJ1?zGtZ\I&`K}xN&&&6SY3F|VW1m\v0;uh*yl`hpMUwds2w5L2Vf/Yhq?zG'K[^ ewr0{DD,f$t m?ޟn'Yh]$Uv8ĴGUbK !no~8yLmI o[ak\w84+7prs,;n;R盭u<5 "a2ׇԟʖWk6AAEyG[\hp'>: Z I"H82H.]Jokv`Ȯs@&7;? ŵ }#^ծɏV8(5IZmDjtkŲk4~<Wf{ RԣYmsht3'uvtsV%sc` /"tzìK]]O>)'ѷ2iue [ :YA§zK1f0- #hh⹷}w4TD9@gűCƴ2etyk\KwXc аps0 4 Kl!cKb'M#f6+dif UYWY!Z9 ʣGTƪh׻a]=dSU[ZyCA淈\حa GlWbW]M=\L,i_dGr*O\julp&"xFwY/GF966umٴ ug߬=bn}y:i1\~}Uc c8~c]۪{;&u+JNYuq܆dVX6$]h̩:M ]pQ̧u tw vsK@n%;S"瓷kuỸ J\7{l]/mOc6.~BΣaeH5Z:5n0}U.PqI7o}|qZ3{&WMowc98O`.\]_uo#%Ĭ&QFX64yZPۇe #iK}QxZ,a02L>718$+2X=Dexm@׏W!ză3]/=>QvXd w\ϫ$ZZiP]~8}ncH#V˯$ᮦTwm4q"D B'7{ky)iA76Tyg9hOt_{͎ k|> ?"j47'SѰq\,68c64}WMA:96{Uw 2,/ڪf}IC//WM %g#v%!W I#'=?hRK>z% ~MBߠOZk:Wu!łNzv&DcܵH.pf0u@Y:xE ÂmvY[Iqls#]2[Y$_>z܌_ s^Kۡ|*d.>OTEHZrWrT2wd94μO{z^?j?)ja Q6p'@]GUbkuߞ^锽j?)j5VǪͿe`k`͍t%=nx.sAaX16tDFp۩M5O&IkfYd+@9q'g1-oUݐ-1 fm=˛9[F  jzeމ;xy!;2 3!vHk;gRO.$|1R=D;L5Cql1)s=I׺TD6w jM4'(:X0Ilƀ 5AEn> NHqƩjV55Sc\׆`0yZTNM^M L:,7do5jLGV 鎴AxFzAWD:cB?)j?)j>\]BL_ߵ7O"t/kwCj CH&ͪRDlx⽹{b͍:Ӽ"VY3-!zcz ùZwEfKײ0FicM[LIVd 1;^GfUǖΠ#{Cb Kbc5s]q$ɓUs 4zK&thP6ָ{&$H`2@p2tEfk v4*=;ZZG9[TtJ4'I?22%L^NmL\UZ;mc&%$~ wbg ]Q\q iF7;;[o/kG˙cˁ-W&XV3\ۭc ;aHDGE "ƱL8Q*k dttO/wKN }^g*[Ǯei3&G i/ =s\]->Ijv&;^CZ=SOZ"Qr:ۘC@4 ;zƌ_JΝ>f~E87ݻK2[0[ }ď~i`<{2?G&DkS:NUDsƾ>JR{%ސ5 @![}5֚#yDCo19sbG.g cu̓sY- ?̒M.~:ъ3MU+Y޲Nokgvpҙ Mt8 6yC [..kgMsJ9p \?~i3B=W I';F9c˝-|5IO7!&14Y`|A6X=Ē=wꪽ2OtwkH\$RkdԦ WQv\޹X /v*I6!g~oÕ* ŭ`mn([q_۾|U~FSѸ ^kt\} 1`pvnMn5G-AzwQǶ@fm|ku q2k x)qy$t-S^.OsM5oUԸÐU-;F?x+; N=&GӟO8.? Ջ[cCHEFQ2kGlּi>:skXΝYV^/٨߹ywv>cy]X {|V$.-lX&۩o56>ctF~Pc)e@+#׈lm`@UQr|ApY]Iٍs\i>^r @+X\-p>޴*8Pet2Svf.Kd:I7hQ+=vL*ݧ.w_Aeh-x, an+ikh.kxdՔ-M%lāpӂy|$=$#5{OeS1U̴V§}s;1#[@P~"U?TNfF9n24Xb88gٛ nsifaPssZ/k/x}- +5>"&m˝M--;>:q,]v橵8=;fgP X3-v̀v:~)?,FT_`cZ*陖b~á1"7D< rmvKA[vuacj33Vis_.k&A4gUMSvjOҀR84౭hx$du@Vjsm8\I:7o pnlYQvSϙ$ p}q4x9uiK+%)\ .cc O N <0ZlԆnחv-,Ӣ&֙-k,Qp} 0l%e'oPޞ1 %JRrue*GfN@4$iQ8N.oMǪ $ #^IE_/#aceZv=?Fc2mlCx'N +gQfm6Qk:!ܳ_ʘXćp:վʳl;ZwoxOkuPF;BU]dޅ8u>YH>>@>GCm5Օ5Jj/.7\9x\WV^lqưUzFPh7粒Th7粒___WP;T. ݩz͌<7;nI;A:џ/KiK`jޥ\6+y&K?mYo8lvT4O5? zai< pr?yߕVy$2Q~I2SJBצEQY%FjOMf`n䣛UZh @LKD%GCJ &UǶQ[M>Ʒp$ cDxIK\4JƟ5OQ~^AoK!WF&?kG!j|}S7F/ v §i[SU׆sًX Cd9e}4zhm"Kzjky z#v%to qAFO~wkTp!BC]mnt>( vqw]7N{?Cq;H  Ia6F|8whb^ 6cv7D9ㅋ_Ng:{$9]oZ5k$=cH'0nkfaҌMenwxGR8tp~^ l#x"HZs1ŽՇ8CIHfÐ u|$J 4wjwS}o=cAFݣ{3g_鴍]I2s}1i=68:wWMj+!5?!p/wu?#obl8X77H>|&ȸ; `&uX mZwlh's[u84 q? Aє(8wݎKh$*Tۃgi-iHB{.;sdž2=>ёI4DtLOʢ8<9$ɆvMM} OT _?.SN ;WtK8 wad4c.]:KM׋?Ft1 0};O|=Ȯkmy"ttW~;84Do<|N2ra-: @%oqK4NGvY~XþŎnh߸n-G.f Oeu7~/aݻi'W9 \Nb8X̱b5GVfKv6wH2;&mEַgka/⡏aXr7 ctHc3B uSD35ul:f>(>h=Ѭ h*xWM8% k#vp$v(L<7V=>98=/^ڻq`M&->%h5H/hhu5醷md9u-6jt5gM/ѻdM/gZ+o؈Nu[.f0-߳FȂft%:NTOAlxԎ -:\G=ezӛ$}ܪV}NU-pUlT m.乬ӻ9Z@'MQyXnMnhyXɔ݃> ju-.Q {ea;f ا5lp;X^H粹k,kOK-rgʎiPkag۪OjF]nRhp+ٶKb]7 C>{Ϝx+?';6څ(ƫMZ屯pze5ڏBdi*nVu>-\{[հBej5G@!w:M NnFCm"H?UFzlס (˄bB=փY12e\Q=w]u/0C wpyrh7,81NA 4iB4ʬ 3ռ}Ho:DtO7Yd?KIZ2dKr`y1[h, 9@ͩ hYsaM Ѵ+s]S8uY!\WΌvI.5V1g5}2b7tfa9jgOL-. ?wu\ t,Ѣ4iknr˨UaۣN[VWԛ|UV~{ÏjiLuVz@'@5o;[ ~ /%tW"+}6ǝu3 n Hd8hw߳nIB ̝=e o#tOdߢ0!߻NN?G߳nAK} љnuisdQ;~:N?;f]:%P}6aکpul6n@[WnlTɣԧΈ b_KTEp$[c)Gmw?],w?B^ل w= x~0C??tur?q+zͥfM}1'_>"zfGv043(~Ogo\{\CI)վNmn/\PճG cn0 48Mծ Sk,Cd*L}ߒgGE"=#4?n]F#^]CoM0WCqq:^O䩋ߝfM;h~$nv.<D렯32I]fӅ,s}j"ۺDp u~: 魥'洯|H :}>;Jaз"/ީ"4WkU&cQXuqoCmT@?ygai=e5EÃegB$F}Zj .iwr9*ɹ݌Z Z9Z>Uk;?vhF5~?Qv!t T\|vWMy5 s1r ouUKcrwB_u6Vô#ᯒ]V\e;"mhG'wW qǢ8d&9UFH;Z[:}ٸX#9$<]n3Ph,k`:fyA՚jm~]y׾dc 溋,mm˔7y{u1IֲUyk[a5{,Nf=m ,2:¹f~\_hm0Nl|Ft]Z _3.%q0mMԅV )'{A> ;衃]X#_>w7V&1ɮ u !}I_%}z><|VݵLk-"f~<z]Uduw?7ٹ5q!# E> P{<&b7w=l40J+_!=i@>=,Ruo`. &Af`ڰn۸nH2@dN扈&`w!kZ:#q;~?2d17}ھ1 :]5*Rt݆vU†Zs!k|5U|ާZrf@?"K'G^2K9hg}L[Qn~zG/n 'E{u]UW;tGw%"G{s$c 4vΜeϬYnݡ'}]p斂H yB$~򺟣MXA ;mYJLXlkRu\ۺUcc,;[-C:gUǹW7n2 DG&z߭fOia'k>H]K ]I#W-ԺvM6WcZ[ n3Wy4Rj=vte`=@S% s=˘BQ]@ii@mY)wJ=R-n t_e?Q줚M&RG>KJ6SP| zܛ[]s۸IfZ${:UhCw)ثVmlq-#:1 ]67:}qu뭒=4tpqvT5~]FԸˀ$Lpnl\G`&<֟JwSM%!keB')c->Z<5l9LZ6egX^U :;'jVR״DQnAݹ@!eB!D\=S#uֆ==D.nYj?EAB?A?rEQ,659a#\ٛư-&yfb!"yO]]L,? lN{9i촶4 [_Yý;FҳWeAm[gx$x&bW$~bN)?E^M FNApl?Y}}ڝsQw`TI?w~v`T>?.)A>ߣ__{-=H0~_;~CwZ~CM;:i {շțQs]PvEhpp"[wz_˯tPGA?HI݆~eOg\D *9\v~|7Y-qn_]ɦ'N8 (.8d> 7d7d8<8SC Xlm׈*,zE H'Zd^mgaQ78e49V_oJI45Fz{cX-79H/eP~|W~QCѯ Y4] iYq DYy I0oM޹X:*ؙxuLqs*E%%XA qs#}#Fc#GN|ֆoIL]n;*ƵۃG;{u̞؀5~%흻ZG`ԝ ƶNk6+_kM5_h4mw}=hhȳ~%7"]2fO A}>C16~i]munAqcZָ綐+}=e1>:W@kSYfdny1?5[5Ǿkh0vmyZG5NxGFX#=- 4lKﺫ}lK w$zׂK*.pǽM>AXE^l  >>hHցfцΧ<483YRGoBfeak$7|B }9%6+%@ȍh>3Ǐ&5_5k%q@t?K; #~>iW\.Sml`.qt;(C`򏝙nȤrJ=VͩI[IG]'>b4lL:umL8#gF&SlxՄowC!s50>'D ˤQH.|Z^v<ӎKYiۻqCs 6 әKcQiX7+RKX.q&Ԯ"ֆ@3mL?321ݠ3ns ZBK` S~Akg`SigZǗ5 1jۗNߤ1fci=վ02 Z|L?I2 }'ѣR@x%D?Y}DWUO; q;VkK 4ASYdpF4e>9\Ƣ_Sh`9q\@d{YO67Hǩ[)9c͏ԛp» {uj í%-NɳLm!_A} v}ҝ۵g.av9%0SgO 4dL~o/ݟOI^Sg,ٿWN 7}in6D;̪~ϳ?v1u|$пb7{?G"9zُhFҙݣg;)F%*nnOϻQ+F߳7J۷kD9W=/o?A7;olȊ[1d n-amh?J53ⶲKslud9f g]hX"ygI^3An#q3!/붽k;W7` REeۜ98)$2N>Ped4D{B? 7OӑR pl494i0?v5p"'m*7=p$Ok~ӭkp{^4E " W.0`qHlk.-cH/Ʊ{;AfunʛKN?MDAgheUX/|נѷ^>*cuY"0?;xӻq \cgm.} 6'W=~StA͠K<=9q xb]u;>=[  //6콂mlүn6H (@r68gYi8~ͨD˕s꿭OfKͳ5W/ks^ֵ;zi!?GO ]Sqhkyn?U^=F\j49Q{ZmE2ZW;yn/ʌ]}kV!ε[EvƏ~t=<]P5. #'_(3%Y n۲B2XdնA-r_6Ve<7N0pc/7< XUexUl{KIxIh[/685;|{Nh70[3ۺz~OOB~ q6pΈUWlt WEt{uUX_a X^ѡ)nⳡ:9>MeXױ9Pc;-Ŏ4qŧm1ᥠ0L@%mgt^~γ֍>?3oM1f.%H p G/'ސ>괃u ¡FA%Ж>\}hDǏoWhw}vVVc KCZ]+˭whl }nh6XLI^in.C4YX.m - ϟeS0oScXz61ZZ;D &f6ƃfT+JtՋ.FL];AٓprM$\JAPC}kg_9yZ|>߉첮;kő˛k}[ցv&˿ˊ^NE@$趾n$#vV-kZYtQFY^Avh4NhD /C&@˭{^lnn㪳1c/id`g϶B[~ٓU)xu4"cΫQǶ l2FzRуIݜHh=%XN3 t~*RVfzݻvdadRF/.'GtV;>G&w{)'g ;=/}^BSP;Sֿ=uW|JNsлsph$Vv&Al)!D2M֛ZVi·%+NӺ:mtNwHsH="acN;%?ׅ/w}UIHnzcm} ߋR޶U7A$wWcKᡥsw}ۖ[qD۞8"'usylpѷg㤨V%vϰi,M;\#m(k !;' Եhu^$6@?mߏ",?J?dzGo{vU~]JP9Yl 6 (KJF6>?Dgv%`6^ ۫y C_7|Iߴ;s~y7zY NݳW鯒,nR>MH\ϡށٟ-w}?%sk7p [WPmgOt鹏};Z|O]ALm{ -b9fnv. ruɯkIGuPuGM0TcɐÚ/D?WjcDV{ΰC71u\kGr|VwU $:")O:6644BJx4+4>\=?މ܂*;hh xM5 ۙ[5EQmYcZ]d'il>rk,%cU2GQ0-c77A#I! ZV\\IR~5;d}[u]Z\^]~I-}Ft#Y]!;2h'q%HҲ ŮdSgݸ'T2粀?GI$@"9ġIw ;q} @LAYPZ*qs`wqVuS,}! h5k7;$;lb#PS*Dz=&?Q/4@IKzn;\ʝ|2p4=x ]\HvtSv]k[-׏s_![0Kkd1y+_?}K`!F5囚ş* 9evQɮyw1"*egexi`~q4IUTs q:}?GZt~Mޥjt&(ɿ{hZ{Xy =h$F~pV)/-n@; ²höH>;iZo1m @l" 'IZP5Ԗ` W8~t"շ0dc0X\^c/YD;n?ŇNY|p3P%IotC[H\O0n%ԍeݹx7{j?uk lϸ$>Hvs?QH CCAsLHF:gUV~018iHDϴpl@'U`Fă1'I@]i!.!}A{F<F,f Ii^*6A|ͷɺI䭟P]] 㧂V.Iwvri=\.s H2aUּzZK ;d0 R-:#QC%J=&tO2>Hg膸L>miy>2chh%3{i\I׺]uW["cA\^,esFy.C3̙t,-mpH=?H>ϒllM6L_7̞4iJX׹#@`71uf ALWrNqʍzl.ddHd!Hԡ5F{M7 )hG_D utϢ> S~A,nr> D092gy3¶$'57Ckd]ks`80hqr!OkgxC++iƺϚZ8wG5$ˤ.= H:vˈ#kw}{k!2D ";RhAsGnst˙>tY\uO+Ϛt5O˕wW*N%-KՑsPy+[لoM M87}{vG;rXM&[tk;'9Gޘof~-(HaЎuS둶@˹IԈ׫#{N@3YYw@t_%Z}ܶuH5_ie-$KZ} wWܿ Obm¦_fku?8rK?}0h4"`_4 Ls?OHbeO*@{33|h?~?Ѥ+w;DD6ය (a'$Gc+6V'_!M}C0݋^0ƿissv_ꎜ ATO dOCo­KrcFn.+.1WhˢNFښHs?*Z^C[&Gf3^Hc)"674X; piqi:9sKF ;X)>m!c`j}ͱ:j;J:NN[_ٔ, p=:sNaeǰ`D.{jҝ-6o^c cA[qYP/\$aC;H=`#w<ǂy ٠}`hJgպ+u: y#P1\Hw*:;L`%r򯽗Yicw>Տ_M8jt״4Ss@7pQ d3=@z ۆ~f,h ;@窷i6m 0x vsXLżײ[ 52Gf#;ϬCAm~{v=s.^2?*oAۑs]6 caZCEN@ ٷ]E㹅[ˋWE} l;j~ Mn6ak}^OW}dzv5+ Z 5M~in5`\MIڲmu,I$ >tfQ&_ w<̊s2 4~"m-^vC.5خaه/R&ƴzmn8G` Koo}U-^h#!H^N@`VvnaZ?ycZ %UgD~U>m5H-Pw3H>ArŚupqx9>FDT{Ν@.;DP2Ao;&@l3:ցꔲcp 5_t괟xvK7vVqHF8P]xl01k-uF]/~] d  ؞ %QPx̦sKK$[䧙[즍a,eήC $di*x99!ޝV:ڑ"!׍-nzN{O!u1e[5^L0eZ\]57uQCOanCad )KNIP2 -OHE|$iWz9_vtS(Zt_lWnfC9TIӫFg~AXfA6'{m5P=F|JVYmۣc?A;jV۾p[f YjnkcP|J@3oM۷FF{>ݻp68z߳WFEdxٿK;fߡϊw~ݣc߳Fſdy6oսHt)~i ?@4H^:ۉ;!x/#Rw:d`'z +cRxk"ӻ+zn3*ee;:fG]eu3Vsxh0^c8T:̇w9q#krV-8NVkK=E}YWmn k@.ϰy|ķ]kί$GGULwfT|ne S۸MN^/af+yec2繀cGyBZRL77 pp< U)42,ݬ~o^kٖa ݦcc+Ӓ,x ӸyH=Wѹ}5 IsXvHB=㽍\K!+}8W\Kd0蕥N(k@ݸn\'@$KRòBx'{!a8|WC>[q߶@| Ѽg!|5\{ F?&~h>Z7Dsnߏf{LfEa{ a'L9Ro]8#AR-n?F {>*mm@10=gOL߿=?Y2>mhktya6Y*mtޘ42f>ȴǰ V{`C:Īh:6ͮlGfeT.'Q'DZkIjs]k64f7cLcg]+脺ۦYGZ?6R뿋g7;[Z^"8tjGl@l`|1?L5%Of?63CN$nj*lYt۸2#kْ Gc]q·^IOʉ. qWQ,HGt,=ӹ܁اf9%-O˅7^ $GO9K:K1p4w9\VME՝=o_d&W|5wf}?n{p1zCJ~cgp4@!f7f5d5kx@OM|Zsi?wDooOY-v}8y$z{>k;m{aeyDo{X!i;4v$n?%3W>P K[~Ŀ^b#mΟWsCik?65Q ~܏uxwdq?5//5U z1$;7*.cjXI٤Tlr='VN%ol?u $)^%Vc>=k?x~_>eѱm&tywV1`a^]V9 v#Y̡8KzB{d]a$YzGAeslJmFO+oQjٝ(`Z"͞ġe?{<{vikÞ 7DwPc :R  ^d9kcOJ7 ZIqos=]\gDju2=yao G<3.7v3*p1fsq sZ=T ~  2;qn> an?r&v=8PLZ]XXuhG^*gP.: |hnI˿)t03l,HJ1e<@߂_1Xms-/!Vh|:1\Z^=N:-up?c4H_4Oi]˙XaǪ}"yB>SVƱG^"Px8<3.{ `0⥓{WUcΆ@hF7d lxnE!7~v ~pѭnCq{}ւ ݤtFU6K`.tb|;h '211mpno3Å;z]y-8ևnS&Kg ws(&FM=0NouJ>}[*acVH^6X`¸:AAA^tƑWZqƋ%:V"f4C]'4jՆM-֐fd_p)'E\e=LuZ6:YkZ'P/h{߫|@7;WĎ#S7Ci&ѱ_WuBߠSP;S־%YZ )%W=w;H|I;m툎>A?ԪIa>i ΚJf4&D1??ܪKI@vhPף܎U\w+$qw޻ XrB`\04uu:),g^[g*ձcƃM\YqqvЏSBҋ 2{~枣Yӛ6രkuu4 #IV喔?75[ {C|JB٨4dWi'GvaZѻd{r|i+GnO*o0@Gӳ= CoQ>T1ۧ{ଁ_xn!Gw{p|ҿjX}ճN۷2PEGVEo1.5Gsvo_mѱKAަz51*wO):s?cY@(ݡ e}{smYyu}GES!}ۧcvGN"&A}'ib\<n홭K_!!pv[- NdzA4<CkmH:'F4n -3G܆Xֱ=F/g(uj6+xZu!xX kѲf^]BEl9ۆsqYL#jtU,k}x'L7ѷ1i͡ HpOWG[HhyF3 .o۴ p4F強 I{ HZ{Wmݷ.k""D |>ujCݵs\G C֌gbJlh ΑfϘ9EMbpq^ ָ1\Ns0[g~ Nf%j$%ouCE{C`Ƨ^ 9N[lRϨx  F 4}&ΧHkwNZ2e8eGv 'BcЫ̇27ixZ.fqǪ> L2{JoC.)cO'⣵ *Rͩ/lZDʿ~U=f[KCNIwdc`}!W1'eth ;k}7ZCjl3i%j|+T;(o"8 }svoƶZc+sn?a7jЏ Wr~dX=4#TxM%ݣ{gFߋ )?nC_69;|.i?YrFC9r>F>(Ne2}fwSmtke\fZs*tvH#,$I:M[1& *4v>@y\ )wnx|N[7S'D{}?C7>#~4>Eo_W'Oϸ)CԻ.2/ۍlOOSkr=\6cci+_U5FѻqwѣǀFgoOQ"B{vէ7#6{hGHj qcDUi66@ז9AΒmV/M=chіM4GI>ʖ(l-αq\ӔoX,niiO*}XL H=c@Š58N]^MO?=nG{]溙Uv f;*^kDz_=kDKS쬲Iq th>iCS Ƽskݱ#Q\+em;;kN9$<[6ekm]VXV7GqwMZbQN5UK,4LvYdS}>\׹Cu>>®[~o6 ?/}K3gMA QԎ `n} ˦%Ӥy, $-A@ 1YsrGlnAYq-릿z5E~qF4 ֒ )6xC=S uO.1 8AK\ǒ> $;cFN~X"U~Ϛ/K+Sb-<$4T /{FtKNn,L9mb;,] gHE0:񽅀@;z2x0'>p;Cn8s7yѭ2ִiCĐ>(LSi24GIiXX ~[Ʒ;9ZQۤ~otazv{uZ2vzݫGy+W]ё>(E} ljU߳WƄx&RKQ#w ?WvݟB5' ,>ٿwh7~ϥGDG4f?|gnY>ٿVƤ*miݳGF ▬ѷGvCȩOԧG oqsc$W6VҷviHB&gc6>a4tq2?J-1J>)/#:F:h6 u46os.2?JُqPE4Qw{D}2)vo*ѱ{ߒΰY5$>kOmhݴKB<'Í\6yq_eE6[CE;8יU]so.{JȪ4o )ջҙg[g ׇ05A?ZTu[MZ\!T(m;n4fmݫ{IoZW|mհwS}*sgHzź>E0o#v_ƽ|YBW6Sml|S[>+_UП3|Էѱ(dzdHl+;_D{}"H} sxk7&4C2}8QL3iUXt?Q;٨Ft:LC㫱5a2F㪣rWU.`2kbL%!CEP۷-ˏ$ KATz`]S}Gu?T$ޢL$pG!qRD>m0$RFS?K@gz`Bk* fL([" ?pG9Mv屼V߼A!I 1'v[O5*>g H(D OyK GzyA%jvVJ_l?yw??x#:SVq۪;(kZ  /@H{gO&w{)%FO ͻT.m_-[= XKG>(`CL''|UckD\Wcx•M;q&m'{--A[s|?0{7'Z)N!-6%qyXGʽ'`Js3{ݤkKSj]G0KN}>BI4~k_DR䱖Zj$.eɕ&~VIUZ3CRa@V9UjnVak[FlFqZVIaF/kG%RZe}ٿo<碃P =;=>`5"1U~MBw|2}J'fxGm*AoLF?轮_:܏bG1%~?k=M4V@p|κ%`}~DL{ؠ~vxϚW/kGk3E;=\+׻Vo$Ϛ@(\ OX wͅx}?Vz5UM1}-ڔK끱5ݹQ|Ik٧YN鈭=6ct+g:*t;BDwEcku6t"zlX9p=FZ(ُ l6ڽ+jO?ꨑV<>m7lc >j~yGѕdAf'=fg~?>?OoA|\)(!j`y'VSoW~-h?:RoUjVǫs h!7M[wI޶6V|s1do'#ed-uj6PKC5@zna<[6GJB[wğ$ṃ?gOwFNis&=qtdFO!~?DSt~Rfoݶ=F@n7~C=sdU5Hҫ۽ 8y">k~;A).n`8?infGDO1kmdoԉ,t "zXH [lr]tڶjĀ0#ɯ&{M,)$HVm ohYi[ԎTɷZX;u~5MMM @}Ɨ3t{4N_Z+!ѷ#pV?gFWqoO:\1n7>87VfYޓK[BG;TԎy!&c̕]:ʻr6%3IyCH~'G~+R$=M9c7|`KGҏ_w)f#Dž6v  !A1=k˜DVMm;Kt5ǂ#l'@|OQ9κxl~i iNMov0~(sBúݹ{:Ӳn&t&|F|JֱxӁ  2IҏESec@ M2>lmnhPMEALpvu'+g#-GwLy nozuQ!ᯙ};ѴGtG^ r3QzS \:x^`OZict;fM-phiϣJB[TۣY+!5 2WJ˳ kMm!^._ N1"A; 3:ve{FsZA neb䑨H6?]RjƩl"L;:}:#rڼ'*_UuZ2ĭXKЯn߻#M.ٷFA|THټmljǏQ]GasC_f>_G~rG_oу3FOlmhKѠ߫ mհe1󽾞DjLnOڪwU_=Fѻ~i>"2#i~(#D`Gӫn>GZkr=L{f=>ȿѣݻ5iB&_ֳ.ٷ2Ugz^?Fwb<nO-7Џ%Tw7HHle'ۣ`Fh[&z6j2~;?x$ mt ߳oэIN(ݣF[Fz41ù7;<:}JG\Te!zvۧc|Uʱȩ{ Ry :$?1%} EN GߢqOL/G?R37ߥ~:|[sHm?tyRo蝶=⯞Vi٧8鹾.㽚D=zUthg/ٷF|J/3ҭ;Zf9Ο]Q0 O@d$T"{8;Fb<_{~' s}=7dϥjDުk?DKx(+vw%߲z{+FG.nБ?|\liA½|Շ ='6̨[DƉ[,Zd;C|HD=${>^H[C\wnG(dQ앥M}`=`|+id}.,YډUTBἶ* !k2cwB$ HdЕO;m(Hj\ٸ0p2dmJFe 4J#t|H={3%@)O B8I8AJ)9?4\ lMb!Pݻ@1鏊Ep}M&RJM&RC>O(w6DCTDb} 幍e~N~k"s A~~C@`N7MIm@3GvX>uŲ8?>=9IL~IiR"Ml4+3"~%eXkv'%cTݞ[:Xk]_l?v;DP;!rw"*3ӴNFH/ZEl𕙵t]Uil@BPq1} cv{(2C~fM R,%O~UήFqZV~ 8fUk.`#DvPш=;5vxG6꠻l{soXd~yuobPCnJqEA6ybo\yRۭlWny&E:ϗ1yelJ#Ϛ}&Fvz6>J-{HE T r}mvc#.\9@ pE]mYܨ'NBP(Bb|\3wZ;~UWk͠hsኌ3a<js/?OOwLPp5GP H4[gj'򀹮Mh-x]+ArgL7Myyf-L>QԀY\ï$+UEҼEoY$-=!RckZA*ArdƝQi&S%))'RժRSHZ_7`hԁ-?# JX X ,p-v,;&G-=I~Hu$cRT\ IIH*\.qp&kű֏}*Yl̲';]+I.| {*=G\vxϋˋ|4-YKU|8'X+tG'_TQ$Nͯ۩ԝuS6k oԺߏD"|vXtJ:htEU}xC'GWCl<Ժm S1CO#SOfB,gٮW29މ}. [FkM㺊`N,ƻb4;&X]/UV>+nQʖ0H3(@D?_a*/-|9u0rI"~S:Tjy]d鯐t%`[jLNZbX7h4Z-Մp݄Dư{,yE2kfJ@w,WF5kgOqKTi}L!܂My*+/!?0PW[A O s8@Rr!ڂ`?!W|tܷO}IJ#vtgȞ.vuJhNR KBP$m! :IZCIP^߽>ӬR1J%}ͥՇ}՛<#FN@5tR?f 1w}fN);Ig?ڕ+M!um`O?Wu=hDZD܅z:k@7Vz4ݪ}[xHt׷Uمlk$—KƧ X>ѶdA=FWfoHgjͻ3?]S3Qh}]<8ӵ]uǴ5h6wmp7Nlzֿ?5}Rl܍H[Ye s p]hsMQOZ0tvlnW47`sIk%e#ZZ} 9zs\3>Y޿ջ7 3i9[rug9(P >}wj{B/mY܈}3V[(nWg0;ߪNݡ /dj5VH>zTfO;7'\ ;Ct6+} nw'}7q߇LW!|FݶƛE;u?AQ\CCyK* +UbCaj .ln=lF*P5Wb ܛ*l)LhP-VRڮz ZmUAMa ?4AU!Z~Y@LAN /)TL,iD *,`wb$&S*6m=>Ү )Z(A\d\UH*6}䍌=≃[_tg =?tRK>Kۿ""ͻ'~u,1ZȂ;w'-kNHH&q0w]56+g Vco b֑GWy0iȩ58sny,S <]#ŷ4g"5yn?2.s-ltwᖷ= #C,/vqgh#Clns @mu,=s(}U' nDUs3 wL"G[2aY8t4Kv=6َ7},}Ʀ8%{H1ߚ/knƒG6ӆHP9N{ky%]ٔ[Hs}>?+Vc[@u29vyvnNotQI}Y@0gaBp6Ek':pR)#ֵy{-cC[|JdM&Űk9k"YsniBW.AeˋI<-]o[|7{΀LxꅔoZh. :x|TYUXms@Y}9ֲ'v=>7x HJR$w@4"uY俬eͲك"ct_Kso@)N}Xh;[ෝU6zX )t6?·j1>{bw~:F 82{Gff7>v\8>j_Km9th^- ՜ OaE"5C /cԳ^u:ؠ5-~jM ;dn[PK=(چ~++?>(ƫGDz7fTl`%ht3ql 8>&.\FWe$a[okkw;YE'Ea@WG(R^]~h)8۪:#Ο*[uXVaǸ"doǖ6cL'_M #~)WG#kboۏlO+J0q~sP&Js`%m'Ȇ7v~}onܟ4Z`q}gd4z_flzWr~o{7} {?x?Yrʼ꺟O5swGTkkXK4$Xdۧ}eWѥkh5Y>?\Xk- *4fsbyPCټ>Aіl'_fn}1tUq;5v `5̺nD X_n$VWcΟӏjuz{tFO׏XRQN*$@RM4}?Ҫ$㾃F  4,?ṧ馌C8㴇%!ZޙycVƧPn5:c`hv>'Zu띲Xsۈ]d:s ~;3l-oI/D$JR9 W!SYI4&d2 ;eÚXecXõai{(]l'spFZ*'Atcbׁ}nя7ʼfN'櫿TdcC\S=$2aAOӗz#swawʂLu*\xkY}|Վ}$Ԕ!2ua]] |O_kX-%zL&:8yUzP;]RʭXֱ%u!?VњY0ِl|꯸D0@%'W`o#᜷dqLBO<US3IZDL)Xd-<[n,qnܘAcC{wZ"g 8\YſHJJS82DLjk>U2w :[lƱ 'OlWV;R~e]Oħ2aK`(ކWC~Sц$%.<5ƮnkpXWa +;hF=e]%mA\\Xe WRstx ^Xt&t\p]V̯԰5{OySzc]~)YDSa h,$l6ns3 ۗKH[ Yvf (͢'c-knjwxTJRF"9,vRuNI%)R&%()J!dmTi;ngr1/Wf|Q}ͻ}9|WCwmxGa:TVioҙs~(`r6d؟b"1o?څ+U!uOl{9T [GӒlћvC&Fw|ҿ~ `Ë83EK#^+@fUף}=HJd=s2M']ޮ=Urlv ):7[uv/z/^o1j9lnvh?7j7;}:oQw8N즷9,pnG9>;DUOC,c^Iqt0c?4f!HOcZ+:{:NK7448:P+Ҙ>)ٗ&Z1 -0ct䴩؍hlx#h\\iIO5OKȲ!LHrVRA:ً`t<LoD&;DLϹL몦5$[AR~Gh&_ǿG~^bq=?FhO~Wg"wX)wi N~^fQHK~#e}z4"oT2)Gw7gyV"ۣvoS-f<'Z\EJgԋhn_?ؓF#+MlQ*%mn^kr7_g thݳM /|lo3>jbh~wK(ц_Ĭ[%MziՍUVzjU$ƥgbm,H6TG|QiAc2a=]nC\1'~3C}itl\u5Sj%?Vˏi+,6gMKe@ta@+O}!j ;_Vگu!~EVqf#3}[nNς8n}Cw{)'O? !F_yͻ"!4Ȟ;[[[kNnQ_{p}SlI:3~~),wkYhsż5]WRl:$tNEOI2q"jgW}Oꫮ-N#:m3 Mb&15ǻO#_4n.']àGVW*,p#C t7`Uh1]"ƘsO!Y-\GDX,55?",`ui:/%]~rMb #H9Ey~:F lQ)?VXnG`8ÅT4 gl|i,vμ Ѝ;%+|yhԀTX[es78ц=ұZׇ٘Qe]ꆙk7gĕ<"4V%H{&hu:>؁yA+cdi\1lk v~^u e==kok mel@sKH-ÞGEmZe#]GQGS]GVX[8lk ^GJ C?`.W3]]o, 7MB2{~?.]'u,}Pr\=YC:̋MBhw G7PM^5?FQ0=dnT9l,V;t۶$FUZzoų .Ϭi;J,p|`pd3"]n/kX葨qnVYvc`Gm p.gi5L4L1ڙ#9ܮ]uj4 ۰.ӕ{3+6!*y'ԧ1e)P=X+84sRo>w'G|SOi3R>D{6WLm3~ dݾ?KiD=~|SB*#?`XuZbv>~/)>J2}uݻwvh ]ۺ=~Чݻ~) Y~;N>^}7jx77v[:4xlߣ?+7]dWӣѝV}nFtVl'7.?,E8~nm+Hz>q:Uo[O4l([=f]:Qd~WWlti}tp*ӝ*wmѳ%}nq[H'#ǼMw~Sm_udEmWrԂdHQ'IE'#0I%/ Lʻlp-'%P-:ťKn=hjP&Љ:&;T2.qi`uM {kIa%:_ؽ;ە }\*qRF#s9oZ~-dUYrE{Y|{y"5TTCI$$R)ITJLf!梒dɐ'L$$ҝRHjJ&N^'8L@PI$*I$袔$J$)A4)JJd)Z)rTe)JKJS%hSҔ'/)&/)&I$.)M?gxqp}E'ԫ2t&6\gPz{oO?u?^g>G?S#~̏kb}NM|R~ DӍ{=O8~opVC7{1nh7ni\|ҿjb/a2d4)gަpӓF}tn-~ߡIHJQyəAMIޮr~ѳgHUK8O3@Ϊ+/"{ut/z;obr~٣gHU dqoLG T:Y4n&KD'.XVZsOC7j{V?TS]b v 5&9I++$V?ĭn?ʎ[S[°?q՗N13+ FuѴ48nA*=l+c:uMATlccb>bƿ(~3y{Vwk%r_R?]W+,6y)?ʜֶƵ7FЊF/)3> KV{[Vg#+gΑ;z КަhD#oəO_k}=ʰNOڙ6o٣gBJ==GOۻoNy-`r(_~='w8#_Jm?3_m~np߂=}1ODޝi悑z{ݲ=Y'QߴzEmݷ'F%[[Xp8\|JfoԶBP)&ڋ66XMT[G|JxO(~(SX5sf^\~~ uJk*YCX |pǺ_3cl"v[Sٻ-6X8'BDܮ"`SCg 峇+,f¶pg3&ru5WXjK dxN ^{ T??nU L|P=R7} AOBIW!)I/3uHw6ȈnOC9uw8PgPeFZ;`n<@ -y?cN9F$YӘ(Ɉ||'s#TQ7`ݣN+4d2=?F'Qyِ'U7k0>Ӿ{7wcy,'YnP;u't6p!AE?Y2,Bc%[,kCxj{9ECIxQ ?Kz1 ާ}_5TZ_ɝx?Nk͞5UdP9ts FEljd6ߡ(ކ0uv hd8hW-u 41ĸ4"D _?,},{9*9xkA'Oxc ȾN~KZ.Oʃr@.+j Ն꘨uNlhӧqǸ8;_o3ZKwWK!q Tb5]v 8ԆWuztDXkr\Z$ Cۑ݄n.N(>Ii>1mpx$05% >m;s߹I\P4th@yȯoC2̹j{[7rwZX0;};@-2߿F; $6{:gJs>SGl 1 7ѯeh:7qBcXHQB%5nkwO=Lʿ#1$Ζ91MT48'Ӂ~[$7y'V@fvmהҡ}'Vq;0Μ8BMVC ĎOU){Z ?öGޣcq+;=ԅ`n-y{B4\y"c, G~ KoLϴ~R>D{l X.}  0L)~6ҙvyHǽ%aontGOҫv[:3# .>i 4HF%3on۷GN>>A;j*]g,>OoՏLmKI?CwmR|=gp;GoڜEvϡ#O5X9eLmE/~?N~>>A;hЏmє7vϡ"#VwjHt(>_ݷn[=?Jҙl?2]gQ6G ^`ڻ|JQgD{aEߥwuG:RЉ6~j}Og/Ӻ%JUCT;Zt>5I&$(!kvK6i1gdD+21m5u4d! +W}AIvKΕ{DWKK\pW*Zs{Ey4à6AU[lcrd?#qQkV@ZcO\H5*/-k6cJ \R' RIJNS$I%F'S&NLPR%)"'HHE/RbW߹>tlQϡUWD{, `,.'P\mM#L=~w3)G?w@vd~g;R_@Һ#gTOGnpGkߑ&{gBl#IJo7;nkW/AvڷGwoZ]VȆ̓kCtO!L?f:/;~*9&]O_шyU;GeF,VLJ=Oq.ThXc?q+OQ88ޥ$ Q-'E22^$ * 7q`/lG?\uvnv>R.鋱h:1X'*Vk49Jtzl3 E?fŦ$>]sn?&5Ɵ:v_w~UYxe^bUl;~YhA3=Nu ۿG'Ywg#4/d(Lutb鍻y~v"G4EݻĢk=ΟMgzcn]Zжv[#) hetϴO"VC >㧳lG⒐3o]~D[*]}~Xٿ-VP&NiޒVhղnvuq+=joonYiRAW>CTy_n% I*c &IKBhR%,y_οDr?JA u,v}V|_q5sr3P4󅝋h=F+η9ź4:ll-t&îv- &rtj#5Eo&0(H&y%/ȫkI*vKQ9,q.^}6 Qcn}CcP_fۿ""ͻS[C=ܷ:Pڣ]Bvۜ{:]}ODAVB8d:O%KWSHgR' k Y){b3%V:1ԟFhzDzc[aԸAOӊzCmx}fΪF *w?gkikGTՔ%ĉ*85n:$x"fcesZ @0@$!iۻ|~:X!4TWGӭʭ- :iO?ySnDG#D_ޚ 6}}:H;kƋpɱ!6}cyg⬾l=8;8zzzF& ]McEz |y\}kpN X6ⶻncIᡕ˽ZKt&O7%f6 i A?[/sEN ]puvŻ A&̍Xտ2~Ɉ-14 c>_[K@:!2qɵ l˄], xQ꘿g%hVq踵V*i;{決++ʊݭ1 Z $kT:61 W1mplqUU44>#_WGZyyoYM| ) S1vɁmO^o浹-pFZ`$rZ:Wl%fm1;d їnk˶AF^u>:JG+p?%:u,`YEcgeH \̰:>GW w\8C:223}}Ů4% :Wrl~o!lЁ m{Yz]yN65;]B7ӲCn{C}bƻke}'H%0\cYbMQklI2DA:({.>(L?E#i T}^5pnL fF[ pAtF5{'UslkA?A,\&3}~j;Y}BB[ѿ9-h'xai2u[wΝX(9"jf;wߣ__{4~X1 io5?jO4WѿIi@)CՉ?jg@}?Ht)1sut$!ҷwhF$~4 Ow;iH-Y?'M]J>ؿD$~Oo}NKt9H& ԅP]:`ǔuAI'&xIJI$E2e9D8ˉ'ĤIX) %) 9&F3ބG: n"QSx'- JKU.v='@),LYg 7G:h)XK)SsD4H]ndJmA!IBtW-t1D ~;THc[ ƈ{SZ19&+uڎtdi"Ee.{`dOƷ0n *4oo;'XJdwtL$M) 'LWI2I)t$I$$E$RH2HI)"IF߳>l!ӣ;9Xqի#WO@\=/i =ٶҞt9=)sؓAǽ/!`+wF=:Jful4@_Ў5{eޕ?vOJd=?ZӐ6^H'g_Nڳ|Ր_;un4 wy$?Wös@~?q;>yﲁiߣH`<}gLџwځ8CM|ywٟ-7jHt!E٫fOׄ<ŧHϭLkyf컧0:#dXX9ج]mu&ewv<8R{LUcNr1:vVC^r~\7 _=FzmD.^woDU.6ox%(_2e Xγk;B~_ie[5~{Y?Mm~>{We-?oS;f'oӝ~22jwV%j?4O5֜rv_N~шE]~4#ꦰ ,>3ѝի6Hr-7jׄg9ޭ_H%.1A<L| ~Obr]Џ!%(lL|Ee?3:hoi5NCt"_ˢ 1;l-Fznz7a+]F>%37Pݼ:JTBd$'L:PU<_).ob̫vSAtZ2HݓR.<&0 \})o]td\aX; 5C5ఙ%[cl(l'FSI[!@XK&‹TjwI*VUW#%ӃwwObWLJ7n}'"1I/3uvmnOCR?w[lWh ;VDGcRP cU<77u؎K'2Fcs^+m̌׹pz*zuVVےlj@t2G mO;Xa!ۋfI>6ǴO0 h{_d![7_SI&C@O3[ZbFƫ-`2t?K`t*CL֖ 'kRC{;M4Chw$rN 6Qt>x@@)ZUr1a{jv㗋S?ܝnrDLJZ|[Y=>2Xٸ,p c l1Mk.E?"V-67xΝ8D i+;@|T3񭾓2{qkLO'ENCAIz&_1 !Fd޻i]eLL UO}O_[v!5^Uc*poZӭ'g0'c 7tu.?|!O#Wu1@z@쑹rK]#&)-RC1e8kj,L*c`:G*O'쮪؝2Zͬj6.٫<\d5zZ2_@CEm2ds]sq$Ul3k".1lsQaݏu_,3!\]!$vMԺEXh6q݄n{w$myZCl]AnWG]5P*Eּ:8#IWi ,cn#lUt0[Ar7©v؈q '݄I,}&SylMyչV[ؑc2VQgD269n>,_4nt]AGOr.wO!:}Ul;̊=Qa<%;n[}\?>ֹ38*9c˽)t" |n8u$u[n6Cv4oOsW`pomkvo+#+ea GJ,WcK@:HS]n03P?8x3Ӯn%=hö\F/.$A6ݢ^cvO7}gdwܺmS%Ƞ_x(Yum/{ ʫS*ͩۮF *UFQvZ}{OKOg?w1FG@OC.-?iwW~w(o]CGٿ3SfڇLw4oG6}P4;EIE6"~$ߥho_ m1DzV]K<%)ԣآ'mƟO)5}o C/0\LH2*S*Miq h$%:=; Y4@dO/W[{$۱En9 %ͱ Z '5~C Y)Q0\`⭻,q >IƐ[s?%f?K".ݭ~}H[W(UH|e08[!эhv||l4I&Iug:$8yc AB-*i4ϥ' (+ V.;;fwW eW'<{(/L;Ȧ"Q,?rIǀ~)z~RxǏqKt-?rEQ Br09a)"&~PWdzOwNvΓwE`fSa;ғ>JzNiA R!Ocܑc KFTǥ;t6GtN: EleSc-c'=_<,[܂-#!~&I$:d!II*NI)b%$!I$)$I L2+ z+IdnKOgD}ݯbGO?7L=~XFMߍ?HL{Ӻ?ADN\Oz:K皐OѨK];) OKOw?wGo?7B~w$M?YwM>`[~coЎu;M*QbK)nMlJtN.Ǯ6 mNڈ+ u nOs@hrn{w.$D؎JȵHlNk,xne+k4~hyNn~=@fHݴ#kmsiz:hfKAO̹knV)/>v֭K_0?wI#珒;?EK~W1f4~w~>hNKXߧGُ燒12B>G!w4b?MW7iAz~]O|[ce"Ο}{v]gC94DD9?${)7~?ѧf/5nByKtRF{~%VF5)RˡĮ}t0/I*%,.R(AO+JO+X]od*=FnfUSa2u+¯k޹jt͌$BKn ~r1A`i[FkavQ \VلM>q>%>>C:HGgGL5c3y'>>},ΐaI{Qf+iwVI| .^G:bksXt\o?KBv[$,kJF"/cC N+spr|.֑)^ m:)z6k>t⒔6kfAO~iq?[yQJԍ1t<3;նt-ڷOf~v z~$ѣ@ۣ|=1:ncKw5Ҍ}^־$yf8T[K`&:m>lccX}CAUNڌƄH{`j?龭CgSu!O+vˇ?q;fYkqs̹SJ Ҕ!uTEmݧp#<*p< [ %E9aQH I:YYWcS4ꙟCY~䎂#:x={%gEX`Dhv\^n~jD{@X[tY|[ڜ۟Q=ޫ3:,4Ų}x> i\R l~qsl0$kv\޴fin_Y)̖p$Ѿt|[{[cö r7@6vmu~ K` w_[z^>&@e50p;/9: FD;Jjrpnqy 39\?yT.iI.D$NJT$I%,TTHRIP"RLEao^}H?yY!?CتSϚtѪJ@b5l}?4vJ9@s]ҍx{͗~c4/)k_aP!ޝ3hiN==~*ӫFgWG !0kkN'nB}ݣt_gc0_ھ4pH)S'oӁ(>ۿQ M۷F f;}8<MPݳ駒+Ϫ65}~vԟk#v<>-X7`kM~K~E$۰Vzd}-yR ߵ./xU}|ɨd7۷VF {?H#v4r,8;Og5~ݣFSzmձGxtZ"u0{ǧЙiϊwлZ}?*fAMGG: =қBߢW31 /Il8O˕=V;l{[C̬KчĮyt=0/CvtTJɓ4"TĨ,_(OF5l`r~SKF \Tu]fRcݓUkxxԅo]⺱[;%"WOԺxn:llcjj|v|%GMڥ];Ssw 6!BG `@]h}-Ddž4 vK Z}SC_Ta;"׃Wc׹`l˦zm"?;7Qʛ鏊iuCcP_gۿ""Gn~zC ă!ilC@Ku*O7{NȝYz~q*ZZyUl./"{LJs(uﭔY 7_`> ://2`s5EUvHRk_{m|nq;}ϒ]>NJ-Y Wﮡ"K yEm=&',G׎̳-ʛ}3KK"mtV)˚u KfGr["]榹$h.Gq$mAnfuV+EObV7)u^1RЍH>~)V_YwOȴ8Yp?E ?>-?*l}8p$ۺ앦p f~9P<oVgjaZM՝kMgdx/s2y%N,s};c ~Uaۮ\t--J'\}ŷϹGrN<r'X*aK7p%a^~.Ncڋ=â_l|U\ܖ2eյl~|cgՌk}0yב!$ c͍i~)|~WV1fiT"OMվ,n;NʕΠX"ϊȷD } I{v68>hHX/p΁$q« 'ѴXVqߴ@+h1gv,`l-\ݾI?r*->a##gUƩpRA.o~UqSџuJTp"GvX2-+wm:4"8 [j~AN?;nhABa߻xswmkp[f#Uϲl{ϊ޹=6 /BvSw :(v=VHUϲ6oսR_N3>(?;hx$Intth[gtl&_g蝳n$Gҳ۽4h):*.z~Gƍj[ i Qѻl{qQ~>*SI:IԅQH$ O)I!_TʩǖOXo+=3>{4C+eN`L$2w>Z$/?raղ܃[l~q?5598\xI@ܗ|ܭe~Ǘ+'OZ!gt67v3 *I:JmVwTIsi{k|ʫKhi)tAM5&ȫs{lo-*Q"$5zbezeܵʧY 6Z ~e\b{SHB[>)$Xi '*cI;@#^aXBtc :Ʉɥ˕(9lv[$a2g!$(V;t"H 'ha@P |e=gSdp!$7:cyt3&{='ArDK];y]|I#u:}v[7LL}RP-|ZُqP"JhQFQ>W31 W6n+wm{7>)Cղjv ?z,;~]؏ʐ;߳WFgl{ϊ?;fg_goG@~ķ6=VHUϲ7*_~ޞߣgz^߻Gv 6tAO,ġ5gxٿFYY3wqx*_;jx#~?`k6o4th/@vco]wV|R#S{ڷ9m?n7~{]~l{qHڐ ;H nllnC⫏Ce[O?gG W&ko7Z}/lͿt~g~*?W> m4dz~}!Z>Y5l{DiTST|Jt0/AI*RP2JY$𘢥,_*!K(~EtDUO􄊄._f6C3?~g"͏ ut$eSk{l]~;ZD OyV5m AsZ!QbZ3P+V #QTj%/Ȫ+}SC_T v ޘ,6Iiԡxn>K>(_$$}(y?"!6Ȟ.וIFʵxYrcȋcoF<y'J%X ~@ȘYmwu:D)%m LL 2, ēNJ:U9 ,$:30oLtMQ2+ sF, DRY쬜J,i̡~۷[ncuhhAq:-,|- ~if ԙ[ERm`:7/&9DCSj;9-%7ZT0sǡS-{+hl0|ՎըʪͶD *}3b[eՀ$*]s2k)sc[ŒєRFw[i?hh.> 0i48?J-EͶeєP28ڢA4c>%g&7O2eϳ鸟B8MFv4tU]@-Ob•Soa`oIcHGUqN+K(k $ʝs[%ǀ:uidxis&P]*Wk>coOesDDYb)!0Z3`l?ߩv4FH'i'!X+'h2IW[s Kն~2)=5zQ%:)`8fHl\{ⴺV-8u8S`< 2FFŖj9{#r#qO\!O๣Likޤל[-57~wij ~)ck$=ݾjzNEvd4>eԁ]W]WRe= NLpqzZm{:|Bk;55dUSB恥$R Jj0Jdo~UqSџqN#* Um7} cuܟ]n؈fݛ>i7쾫ѬϚ}{]ny(@[6j{Bj==wbKnY܃Cn$Yr={mUBv'`c_hqFO.?QCK#g~ K;b>b]uoӨBw[j85"bӿS9_*#ߢ1zF)Ҳ?*kxpa߁l.^ˈpNQeU;s#.- _1nw VM7un?,ƶƇh?Nc% 'i1إ;oyK1iIA?R;YL·~UED@;%DZ@*r> %%zf=4ֹONߠnsV[-~39n|T띵(Y }>}m 13*8z},pO(X0mNG^X$j9* ½5]}$q1VbX{KZx$Dou:`sDk-kKCTC~>^(:lg0Oܚ͏ -DuUYaAEmms ZyS[ "]{--c<=CwrH(\wkA$%$,S(;P+?BPQY$$€ZtWA<LrIyys*P87\g@A)tgQ{Tnh=Ii+@n{%6?JJ搢V;oO>v~n|iA,SBr$):dB Eat(}ͮʴ[DLh>*BZMG#ԯ|InّlOON[|>zmgϷ✌y*Uȇcݧ?.nhg:|TOkO*UFGt=bs]HPnf ?;=3{%5szih6HH\Yyvѷ;掠ݫAlM/W6W0 {HVB5|79eYTVۋ"Vڽ`=#sjXEeO~_73٫M"Svy#~k=k;.!7JKlC"#~OnY{ww'Xp闳~iKw{G!/\5#5|#ÿD__n|Og}_UIXst~Oݳ>h?ͺ:?DmZ;ty&Y^{7Ge >Y~ f|a+iSs|UO)RbRJI$ȡpTO^?PžWGz"\T^ݵhJz2\ IvL?3CZtD˩sg$B˳:Hsktr~*n>ڧb7-c,aJdؤ*0dkqPXiP -lhiВu?>XFò Tmpz"%/Ȫn;Ŧ/,>)a;Ϯolop?? 'CcP_g4Ȉ"z3*>'\X +9mw`1kvJlMN},lˏoq 1m%sD{~zfݡa V[R`ks[:gI1i׽s ]vk쭿.cƭ9>R̺vs- O<(}V]B8=U旧9ch%|#nc?x.cMo |۔n*0ml'*B\ /f/UmLCbdvD31)n\'iasb'诧5ޛK'HEc~ǃQ=8m>(oðc-ms /9X$fop"~ WYYٻqHᳬ7 }fUqҳu:MݘF7i[˜];[Z"?{_M'V8tq?z+OdU5ԛ5ƐVAm{DiX̾ H<]qo?~鳙,1#p cB|#;0ݨ.iaшV 7 aNoMt0O *g <[mi-sZfZO:kNL-m34hݕM,$ۏ*kkkYG:eX{1Yij)* J)#$w(yLf e PH^k(h{e_XOi/^En;Z"HU-klc %)!N)GWc֘I6=Ø &x; I,΍Cr<ĽS;%Kv[7?ՠ[i!mncsl减B^hȇ[cprqf+tct-:t旲;+Xƣ9ZhuFqoYut l ;@q;WD{y\~4~%[ђN/Hsì @ %tZFWUٰ#f\eG aٻ|9zZqA/>*#BGU$ҒbIJdHR?UOFCjyT[nCSnvΰ:^l߷W?j>oe_ms3E#D轿=M* >JyίA}]B@~Ί-Yl*#_ޔW[|nA}}/SvWk|#wٿ>IY=f|Yϳ4M V,ݷ@}fFmFg0>~ϳ*:RERJR2)YkZiMO3k9wUJ tFc{"]L,8$|w>VF[kC;{#e7|w|F{Y~XMM~=]zz;ʙsC Gty1|B,/q~カjݏIs~PX 8 M(Ʋ!hFwXH$Ha[+s0~(OԞZMcTehYX5f65 y,t䥲@vO%ce`<E2]kְ7J /}"k8.S~Н`M> Iǣd-m0B%YlW@Yug{_=ޣ*8??^#RV d:^G? FgA|ҳ?z/SuKFEV9OeO6w ?) Q(kպ؝sM'VH ^v{ żN.żct 'bcs ?)bgcvf̜V8=q+{cj; ?JR͙01\}u:zu;k5Ag3i{X)ZC:#~^m/s}oMn,k8:K}`sƇn?Z}3@Q1rmEm;ڱ6~qMZ{ioѝ%:LcZv:I|%(ӿ8M9W?Q*g4$!.=OƲK _v&ExUdw;-:p)րYf+-ѷ|fS%}~m W,r+Ւ\ouh/fDwFD 3^Ǽ4-xJx%>#g{3}ԲKG4/L[{HHo}Cٯ* >Jpq=gjOn̠C wy턀M>OګMe3wOH EatnDqؕm̫)OgWgh#ckY~~;v_M/o1wWǿ䫽g?j7IVS5^6=X׈Ey|kh|~bţ0munӣ}V\){\kH rNzi1tJ:KF̠o9-GߧCk?CT?AWz#~/z1o!>J~}Sf }wq=f2(+f{3=ڽzgfTE]nN//ϒDxwr=,۷q>IBcY%ۏ|<;\G G}r#gOg1/_jۧ<M׾6o%_ԳWg2OɅt}#04.F7RI)UTI$R$*PSusDLs>I+= /ȵ.WNI۷,f_L[n%CyWCհouu4=-塑@C&|ƭ.6廉> zN[ M[6Vv ia-5L4mr`,Z+ L=N;{!HyΩ!/Ȫ]OK_UV\(Cn~Gl JABI~џ""GO| ?9ęVkuwp2MA l=FH#a2^wx[?Uiu. 8ɳpǶ׹lƑ7Ϫ] ߑIwC4FӺ3phh۷ۨG\~/Lnknl~w@{5fdO{|)2b5hd݈ypDvE6\d(% fkll G2z(鹶Lp{|H4իe!ē͒H!mm+2k V޵kcN͢ (=C; 4۱~ +nmAM֌j^KIl L~ W[ 1q<K7n%Ӕ FGC}Eć}) Rf.la1ӧ*_ҷGǫ,tnL8fXd4G,62M\]i+pnQd=āGvXʏU?S{1Y nFY|Zʣ֫31~Ic1ٳĨΟF<:LVM[ 6UAۻ*8e&DxxSg!rn-$:;y;ge-Φ K ri3* #pV٠eu9~GhT,;t7h{ʳlۡ$q߻Q6Dmnv߲?Z̨W}ݣ{B@~%u>Pߗ}A;hŸεƸԞeZΡk5k'haS-ծ0l syS3? mM5s\6k'f@0;GmU|ܸ!+o#ûgCma:w^[UOb5&|衯j[%:'kُ3#K>Vp'vHD^V_V3k]kq̪ͅ~v_Mkɘ+[<伖M=;oMkax$s๪M h >1Iٷ~ö'8O2Dv ~{WKF]-s!w }Wy '@D X P#U{w?{q,ѠsΨI##z J #RE$Տ~UmSџudG)WDǂV:9_h}ðWV|g q[%ٷd>h@)g{`<@~΋9_kv6j;mUMA}=tywۡ2/@{DZ`0WgV7{~;ݠ :)gh {nQ?f3}L >ohGU:).Cwmxq@6'"qJ'C mg'U6H(HQBE)AzJl\Sy$W'I!ct@[6ʝELa0"ggm5Wq|Rk}*,$5v [dþi=?L8O$hJVzs}15sC5שpDqS8 Z\N4~PiUG~#UIOYaR#|yV򱙳ǓQ0AU]t,4hm y1'$ΧT!;j/v+S]_U*- jg]f7nQ{ 'Au.v<KּI- Ipv {GRh ֝Ň'Rq )/UZpWSM_ioG` HQ%D3%1r|rTEw lkRr802fEui0Q`Jf*7&Qd;~!RockM| X\y1e3h -,?]j_T$XN1I"9Gie7: ݹāew+2n{,\t[y*-JM_g2΍ 5*NWj~hh#n7iq7?fqABgۧ;8yu^rMKY=c/??}qcǸ?A?U6weG;Yt5/g ?GwH 7YtG*TFw,Od5`<+[[iak&OtxmL1kѾyV+dgв$|o\ߥςW7΍:eY'+L3٠ }wlxz#=Ygq V ?ov#R{hޣ"q#C9ѻgI#uOyP?A{O~#y,wJ)8D{[?Pw؟gCg/ڿHxJx)ߵzovd@,+Gή>j0 *t0ϮG7$JI%))IH"*f\|IGM/-tt#Fiw+pfI{e9YBFT,2Ew*̫Za'2 bKI[E1CHmt kk*F6lFb b)Zu?/~Dj%T.lmsCΟjQivKԒ |ڱ ='Xh0@2@[Q5 9Lt? ]UiXY'F O6Z..~ik$/We'TDD,Sfg4{?GCǚ|{nΎ=đɹd+;\r /{:nɆ躘myZ9hzs:?X\b4H[e>8oH~dT讶ď;er8II߀Ω&M_+ /iQd:]>VG8#etZ,<=sO1Zv. a0،Կ<=3u9Ɖ>yoq_!=j~=ǓotUeb3*zVajv1; ̢_Kma=?DmGhhm.k,h$Kt.BJrûP\X5+K60 *F}Իb}cƑ\}'S[(onˋFnWeݯ=8n>_5f`ȴ55*S7n$j#WG*1R8mm[F?!"Ѳ]|]QùO).%ǒwT$btGM'@h=D;n:?@{ڷ*0?bm~_ͷ~ϣ:G>}fTA<~>?y߻FN6Ϩ7hi K:2 b氨&[fAQkuV] cr2 w c\AJW67iVs }p[ 5ȎfbFTU9W;n൧P,VFCpjۓS˫~}8?_QKk(6ri}f} mW4l;HQ?c9x`8'oH ݱѷsk ZFy*=;9x`%^n;åtWcn=Z`dp hMK\ǻS_Gj΅-2,z% ),x$)I U:~UmTџqE$ Dol$+f06m5^ѳ'84z~cKѮl<Œr>㱻jo{Gw/z3mө>#{G@~7g'`m6}wh'BлݻǸ>IGg靳nACg% ?bG}yv̏k`v|UWmqQۿHhB6R *AJQ$N+zzQ([sRHDW:oo#^x*wSm{=\[f^نHi3{Oy& mtK~k~EJAsn?ҷ]˩Ͼc䥌kK`C04xdxzc ZMpaO|sՋeKvN#z!S8I;B5v^ 4?me6}g34۪:O5s?R5Q!V07v䆥Ua. :IImm.s ?eO}6ų=Y "c6_MlIGɵ|)Ze+&ʴɅ~;n"$‘(W:Lǚ bֆ۾ik:G>Jt8J]A"t$t u&kTKl4{k>ɘ>rONYg*?9$6:X$F>OOY??' ]MP";PV,Ymtlh$UΙPh " Np]ߺh! /}05qU}Vq?ggܮ?-45%5l$IqJcϭ3ꡍ\mwMvv W녇M-<ܳqĽ]8e#9܈GK!fq-lou @{]tj :w*Xf5(q#YuyQ0[XŴdI1X/'>0mv;'*Y:Z繞!3<o{[0ֲ.fYJw8wߕ2lsfX9ZKIg#qc};K ޢ0 Z߂h۔!2#,`5zk5bY{]o#WÝKKX{.3Ҙa/芟{InaituFYn큃t{}L陹X`c$ e-L$e!A~1ƽ8hqlε폂.kdNM$;rqcQWgo#M eugk#Wclof}xy$;+5ЄAB\|}z"C;(n-_MF#G53!6tӱuP'5fH!w E;E-kHw~#_i+uC@Ҹ+ƥ, \wA)2GV}ӱh<ѩN5ns G:!^5-nZ}37 f? /Ys'AJ$c]r-uD !X̡ XYuOpm:}]6j_ˮȶ-cED}~pL(7j*-8u㗀d0IYX^Z.zDUΔ`ojaojͽA.-7hX#sfא>O{0 :Nzgg#&d_~UlOFCjy:'l 24PS7N#_5|ћ#qn zǦ6|Ystt?%06ˌ'S7l2 X f|";nߧ:$oC![P>w"9'ۋ=O9,} QG89;6EaиSՉeh5 b}-J}v4[8@iuSo.XDlujc%/us\B m5ƺ䴈0#B-"hh ^vZ[]7)naq'@‡R3cO?T}褐5wA=b>=zKz=sMVYVti9 *&v Ky 1{$AA5Xy).Cv|@P#P J' 4.'܂Vp.$ODy!Ο?!NᣛJֺ] D9yƒ k~0@LƟc7jR .^Q\XM6[4 GZOM?S'OIBHStUStkt.ܪv|%=ECn`>jwpp>̃pwuVN8pigtY,-4cN;ַ%= MmƴֹwyP'Bul$M&ՌU&1vts.Ϩ-F ۠VڡQjc}g1a Uʹs،{s_v|)ĶlkL|2`ǧZd{&)ŨTJLPSH) "/#`-.}jD'nGUoٝB?Ck1߻zTuOR.u{u!e3:R;&5I & #ݨ*6cY[c5hlL|\::LL[+nh'-E)}vLj0ކv%vĞTgm`0OzB){~kxIGF>Y4ۣd{mӽ ^;=v~_JgS}mfV&f~Eb $ӧUł6< ]GCck?q |ZW{4\ĝg$NA.ѐ.ӏ{@deU4sgL;3d`ql.e6Ks"[>;Et |clƂ3khkԉnmDNK8Ϭ>UP`s#V e_Ѥ}qi6gkr*$$wS qzpTzbۜLJw I }>" tXepVGnnEưfo"e#%k-EK`V ĬQf~JࢪI$S $:HRdR ]O%Շ&cT,҂dV׍djGEI{@XȾ[U*Eg-FgĩQhShLX)( / uO;`xCF&]=C/~D ,F9@;fǔVd-1k~d@,{XKA:8T_k23(ؿMjW!;>W$?$}u(Y??"d4Ȟ3cw,p?+r׻hT |ZǍyL1g7 IPk}]n=?5a ds?u~\Y~H{l!Vl9k{rՏsoy/h;Ο6uW kǐB1obp t> KtM#(t3W9.v`ew8~p,:V;s\ raNF:~_l ܆?rl7\`LgG+A⹍HsĎLb9WN§;+)w+x3(2v}e\T;5JKoml{@|9'C5Uۍv<’ٲO&&Ήsvw_O~3-?鲺6 eDӹ̐g Im,lи)Lp;ش1[=Ilv;X)WDVn1&ͿH]!qK x&uOEO myST,ֹ{Aإ|N6YXk57:OCOtVqXwJۊj#G)NSa il?y ٲKa~p׷Q,wiIZmy5⪚[D;`huء  ƳޮtV֗6ʬkN'!er;iCd ODv.q/!3s,..=Fx=guGpSR+ߤ{w 9I[TdI6Y Mxcˠo׳?^εaݻiHrUTv$Z(C\?[[#^·2vyw6^+dcV*Dm[C"ZlӬS׷p2{k% q O)κ`|*%j$?UO՟>0S)`ni䠥Onuye/>'n.+O@ߥH_+/}Q͉];~y`=5@<<E/H>COWgLF罹H*ߤSdhv=h зB7j=H}ߧGo;CwRb_1t;et'իݾ% 6]^ =.REH) RHoiE-1=ߺ{*]'mԲ؟Ky@ִx+F}n~]dFO׮'ƽh D"F^*LVۘֆ4ĻTٔ4Ig@dw{6I7+깬s7=DURˬϪ?vU~tGS ;r[eC]f$Zb1+fSE 5q$vT¹ hãKCAvc5b6!5 A NXwm0f9 vӯtJa33;L5̪~'ZaSAVn$< !07AD#OVnَ͑~T*=v$Vw8)Qc@%0ڐLP{u: u+$}cMHintUa/i #o1W|ޝu88NRIG@$MdƜR<լ| :@ Gwln^Yo_vJɎoyXub͋Ӝ5sk_%pwtV睭+=B^H18X X.'Zo[V=1rOTs,uU6湺qد,1mVK\ [=GQfVyLxm"A!ms' i89d86l֋ C0 ri=7mcx#w09C59?x w|Zvp9;y)*hz=sKZu">hm]Q(IUҳ6ZYm{H®^u]/3RAn \K묇h\=݌sø,~%c1@@:Ga*| u$TI2 X $JiO Ro[d[-ք?`TB0Uù\ S%Z)N `a%<TUU%/Ȫv?(\K1X.<荍?M^v{y?67 |w}¯ABIU"1I/3Y??"e2ȞKAoEM wVO]uT|]wQհ}Kǚ{G'E*1 ;*kZ|{!*:zen;F]eulp`m82(}&.ʪ<?@v@n%$wM장AcǕ]k+֛{$wi=LSLf$2Ƕ_8 ]DV)Xk^"L+QM v 4~K3#~Pz Jֻ++ed^2l{}@dÕ2:Xx Ӻ9n܂U48vNI7}[uK :žu BVorUWjevq&w֬KaIp\QӲո2*;`olʁ߸ǒ^2m{ *807[wE׆ Se3F {+yh;:8` d;kH\ST Zm|}V GP*ݗqOqU1*܇0[iR=|LAtƝ2A(oa"zK|maT+vCSyhN]X}4WmA{z*~ƶryE OGj^C+a~ iۧ*ys$Tnk?U6GVϽɘ<GuzEWـHcv:VP4IGb͂,Suoup̯xUJc:+:gRյQ?X\vyV\Ktr?>fbo&6Z ­:gXYNjK hk\fr2oVp܀Ujs > M|UW)Oq"HYSџ?S5y`n ҿ̐nX&8JK1X g?Unݷ۸igu/=Տ:~U_M_h~;N89p)n rCHG #NT'&HJ_}cw8D4U\ {{կ؇{?Iy-C͎x?IK6ŠQZ\o(ؖ6Xh37\8c ] h(rV(ikZ uP:l2n57FkˇV&[GO ][%>ɶwDZ4+c,dӺ"nǴ"ݱ] k:罍ZفV;*hH%,NZu=_PN Ny*]%*䘨n>SGAkƤD.ri9ޭPmsY_%xx;1ka#f\]Ծ]6C\Go?Gfd12ik'Po1۰^rr9ޖ+{K2ѫZ@3uWt:5}9R8du{w4|T\K|c;]-p!+n$6{ZcZkm4R$Jc )(96돳;rg#o_Lm1Ы+_iݕ~}Lz}5'A/KWV X<Uǎ"&G20yVc}[qӱ+C/>;ikcHYc"kӽAh2ϊWns\!ѤHӃ2uAq#vHUkc9sK29vc,ctDQwk;-c$+TAe׏Y;O>=my;^C^IBTc[U7yhkåHBOS4Twv:{3 9j =XU7 e2iIDWtR5 JH%z>+[Fz?AX|JnUW2ɒAJI4ˉcVܡ_ H&U++iϦj˱ezzX'ꗳ&V`ςK?EWO@ I<4gVZK&?+D ?%=B=,H?J**^ѝf8e4kdi+͜Uy {#!m_ꇪY)RS M;CN@'wVm$pDJ~vޠLhu?UpX~Uk)Գ茍rɫX~Uy` Jyݠ YwV |~+>$}Je.5 oĉ/G=yT2B.+C% }*V%Ork*;!<߬x;ߔDlkDŽUFK3-osD9vQ/r< "X T=P|"uU7!J7Z>ZaÕcϯc ]uYqnw2f%1zfF<{KKr튣3V_J>ʝM xubX-@*x[&N};9{s+l\?q@񕵓D憰w F2oR cBt2ػ-:LRo*JR$)Sџ[U:gGO<$I"cx6suC()6%TmnDv~Up{C4\.San$ v\2l'Gx %)htRИ[O@lwΆ>1m?CG/ }>FN) PI$E$X7a&]<;mMc驠c PkZon"Nfcls%o̜cK#vm,] >M3VWHsŏ[Vkj8Wv4[}as=7鱠ha%$i\3?5B[]Ne H`|]E Fm !^)_r`66;tc]({R Xhyn)CJ_1aEza kD7Z⧚0voũj!;}Vm&\#PeNӐCM#t E&q!O "Mw@UUos /RIIM.e#)~FTv$;?oTQn=|J<[G@Kuљb澡NhqvdA3.#n<@("R26u-Xw^EuKBu3跿@&)'I%,RI$Q rmg2]"c>adq5*ÛwWn4o0ڑOe3:>ꢣ @[_?{Eۨ#f=} E9G:}cFgcn >KE(hߊWXK?BAW3uwy+]]F?d(Ы6jd >Btlj vi|XuthGeolߣ{ϊ@3>a6|P?G;g~t^7~ϥGvLEunFU,gn*H [UV]vT_#Bʱz@2we; f{]GMun}Y9cǸ{|zGV9Enm-ԏT`ۀ^ ӿlǵtN]z=4<>ڱ'Hh`#Orj^td w̏rzp(cߩӎ͹2_d5W*l:+*94ۯ! tDho `tE^MOn6G?X0)75 n\Q`{C#I0eJVrzj}NA!~*+Ey/yq>J>PNwUm`v<"uP!Omgѓ^@&0k)hr^hx}zϚds%(lnGU ) $LjUԺ5TaN 53lm2 Э|JH>%OTD[)*r%2I)d$dI!K?2?C2?% G4RT<%!hI$tIJNdSK_UV"G`6-ax|_O pk]ࡍw+ȟ JcP_l~Be? +TDv}ޣKۮUa:; YkUȷ QX'mDjJWú}~*Ӆ~ۡ}+}np>InwW#?{N,UlǴ|PEϻv|hiuW㧙Qp^/vɳ8y>s۷@xQLٲpSovqG*}lGxUM%==) %c^ Z+4 j;wȪ]U7iwC T5YT1G PCD7oU<;o ;Cc=CGA0یuB6?Ĥs5<"t;[^xs nqRW6d1ן?R|»Mzoٳx-Q-թNU7`ip;^F}7!Dc`hũ ̊KkI ƿ4xZpg=,w+b܌rIamm[ fk nun--ɥ,wf-ݴAP°IL!RL.L6I* 4w0Lٻw%AN Tg|*u_ʤ<<&O0^XΫ]S7N &ɕD|9N4)a{'gH ~~>)Kjki )21`?$Lcf88dy' !JBvJHNR&>W蝶=>7'LL};1G<{b}}/{N*$Pϴc8ݰcx*JLyai5v)(,=Uo ay:m;6N^X|b _@WFFGtϳ!p9<_}ٷ!#W*iş;4mw(ez[z>' 0?l̗e:O?h> ~E l)JBV=YݬVn!L<ȽfC!B,dvVcF>zW>Jn͘y|Pj#/~*'W>p/sL}}{zݶ=~*;tb<2=kݷ{Eޅ^J?62 wWvt 5=WZwO~W޿of|PEa7h+Sg=W[wUJ_g߳nY'S7 0nO+3=f\nL@J,S[YW5\描v0"ĥ8 7TI{;:Ek}_l⹏ڹ\- ZCf>Ԯ_I=;Vf<7|]b8l{c[/K}KȞ2,7g#Q:}F0 N.}c`h ~W97m<6۬W{pk""4@͐Ddch y~>/Sǀ)s$5~ yn`evWSֹ*nxX =5Touz|AӬcCwHotX4vudžM~ߝ/3rrEA\;Jpk,Tkfu-6C\'Hka ͹!ǰGuUlP=1.|hǧ)Hc&A&G;(meY6I,skN䴺N(\lg<2{,|qXui YBI(U)BP2JZtL)JYd[+P$z-'c84 G`JE"b>iN[ap'PA?KTT26F8> 6TtxvTBX$Gz~5tqplTUO5E~ 2,.:dCZNmdg e>%,_>*%6Q{>r;W$$}vPOEBe?=kPwS*QyZ]kI)1DTJ:NK)2G,x 7՛.IѪa&o;$uG5o?Ml[t3y 8twKu)"e \n?բ@_5+~v;v׈+`ѫ9?Dut+)|1+=~\ͻʱޙS99ם}UiXN=Zuv~]N(#V>{G,czAޞ5M_[wf2~=6s'XkCoUlF)~վ|eX#',՛{GDިk۩-l+][vnx䁑}tNV6AnksOu,`f<蓶c򋍒h'IcsO":yY ڽ>EWQ"zk zL>`Pr辮dmZ]>絤A@oNO2`zWUFMUUFnhU`]FE5k ɼMqIςm$gp6S}"⹚Ӳqc݇42 X?xTŠ1gq#I@O$]٣|gô*"gl# ][uAYShFl|bc7[tGGSmΪ@aVGÛ1&7Z;xy(vk!B-ٸmNYn<%psHoOb H,vw#] Xey%۩ 5@)H^u>HZIL VU_ʟyԫ#x$HFQttt6lǴA|C3wy+@d{o";!~jݛVȩ7lۣ`( kMڻ+}z5=V7}=? 1o_{~/zSv O=M\zdv; nW޳=5PǣY-vӬ;iVzt=zgVHLH+;l{mg>ߊFGD|PO#V _Se *a܄J Lx9IMs@!HN?'VWez<d i2[ 2KA:>kXZىߧ+owʱ5hsI?V5s/fA?i\OIiefW7봘Edlg^F[۲!sOug54so$k8.a#HP&Lk:4$I6=vUdVzgU*ERe$ -{Æƍhw 5X.Ǣ}koJo!h-pvkc\z6= 2 [fkk8?<7YrVoC,wI e}&~diKwN-k;s=ZAlm#$yNXc7g3 wL{^s8'Op!ܬecLilsG9$꒸z}k]/n.}jg l7ov͋,kÜ{ΪTXܙI 8~&9ra܈Ǿ'*ƝGo|aܘԼw1{}=gden8:$$.-paە˽;}#cKLj Yd8kc{2:wuluCQԜnsaq n5 N̑])䦔V)2tJm?]REmЛ$I%R)RI$$R'L)%I!I$JY2tMz^Vt:)7kG$dtm_VO}zfL'U4vsN~5h:G_vϒѿv{?ڕ+U _;Q>tϿ~ GGL~Ѳj)6$;l{9doqJOwݷ?Gfo_Y;Ӎ9B4>|!^jMCXzeo)۪Sޖ5?O>;h9'yUz& yyNK\I%Y1г &T}6_HgShKLt:-ޛ(Pܒ4/`|Rii-%qHJHp6;uOlHt;r)s%w4:n iwˬ`;s4 PvE;};p^U8;^!ASBrӣ?].8$B||w1D~s-lfbc>gLN5>(ʩ7RL$)EI1IKBhR $$(5_+%{@daG.'(]4-lVc9|T1U}`"UPZ(Hضv7q>*u=YV/: Dp A@6q!۔>Z,sa܀z}RCyeP4N?s@'׎3Xe'VrGQ5Q;OnYfmz;t#N1Gg?Gng7xGi?n>E:;ۧ3*d]j>jnWڝ=MBG]nH A4)+zp> E38P)RvA"t<)Tѝ[U:g|GNjs䭏F}}}=Qz}ghOn̡~x}e?z5Xzeo):ee>ݧ1 W3UﲻK~(:n}'#]~ۿox櫏[}3?cRf|G3V]Zۻo<}jsTdB sKL;B;j ZָI2ISH|Yv}WRX m>Z੫6mm˼`i9iY$PlTVgU*I;2JVǓ?c@򀆒5YTց $) H83(fYs\v+l\ )ֵ1  $")徐րӸHAq.%%%qDΩY oCP#%q'DʕֵlԇǸAEY%%,d;{GαKxRORL$I*R.6yQI%,% $5!TV IEI$HY$IKI)d$RI$P$$I!J%JJ!d,5zgNbIY#ϒj_:j{ʲNGWGhL'U5vsN>꠺??L+n|oD?H?W.G:SCmZ?>ǵ&&{[mjIP^>VAnt{DSnܟ4Z>:Kmә iF7j;BOl~Gh@~k}=?i_Iu(_Ivvr~Yl*o\6ߩ)ӪZZh]^qO`9խwh{|ܜe5tg\{N:%gZC}#^Lx0hk;n=цT\2j@QKՌ.P'O`0 }l@ Թd(!o]MYly'Uń=hn*HKKHqcQ;ƈ*Dccw#sٹi:Wa/v>FEN .!8<{x#MRTn*h{ kP{ZnZT904Ff+Ǻlckt8dx&%\,߳6wG'M<ZmgWwDrHs`|a ~)"iKFK e.FjW`? @[jKX@$T9_z\=Y|7ծv>4T'o(S 2{߆?BàXUWH"0cEVXg+=ҳzXG]9?R)a6lI$!I%I%.JJRtyfjO,Rي+|IIo<1͌6yn6+13∤@u*E.;wp~?=[\}I;$7HP`u|@J.<7oq%=M} $<[!5tw:vK&9$]Qmebg%,}H  cd6?u&[[jw䚋kc}ÈK\ȼD5Uq'-}ݣw-Q^M IigבSeŎ'_uj?(^:'տ#u{Y_.c1Wy z6GӹHڿ3BI$}Ke2Ȋ"yZ, vhFYK4%fdelË3 Ow{}KUXxl8? 5} 1@ $h Q,i @uH/MOЃEi o\ 4ӟ[4FQ=StNe՜GV %ܷh*g^XcVXÆqQ8u.fV`* }W7k@}o/ik;hոMX_Qnwo SL\^hw8|q5 s%dp `ӡz#z{ D~%c'B[5Ȧ5zIgЌN3iOn+[4 hiSwv4Pp^Ng{}ٻ{n1?jjG t~l}~D yq5!3y> ;)r|{{n \S:Om,}o~+["C]4@ 68 {u5XڸxtB:^Cvέm e[>ƆAU?5.mL$WX9?a?p2`7Wm=$Has{|Ӫ~:#A츋ӭekhE49[W[n9`1'FznCnl4VJn; O0 \S'Dӧ[‰01?kLo즾tpo>gUH[ZPxӎsi"| #T`C=)"〈ce 0txΪN}52OĔauXӔ:6o;gXVdmg:P1Cty Y|5|eT=:vG꾫ӬϚ}ۻ{O-~p+KG>uw>{t:̧/٫fiO9?jqSf$O' AH'Qgy^ M $Roʮ*][3#jySnFȟ&>Q@.qK=d4?L{Vò=kݷ;6kvoVC ]nvvr>c7NWѾyHE/YWz]z8!W~SegUw?۩ezv{ftn$Vz=Y%qtϳG_=GI%!yUl@`I%vU/' &Z=Η y 9Q^ȖHDSvX .sS> 3W2 n5Y%pOoTQTI):@sʿiUv~_RgUmVhI<eNܫjږZځ[U4M\I&I=I2J]:dJmuUX_J~A?r%DcwЌN?_=uFgFڻV Wۻnt?w};=>H#=~L}+7{nG1AHG_{ow~ѷ_&&ݴ{JL;l{O|Pɫӯ<녗~ӲuC`"iN?6gR|@{ڶtaۻn VK$?~:Ooҝg^~*>`ٻWwxH_?T-[kX( ;q :Ge!@Z^6֛^ [#~Ʒ=w5#Uó"gq9U{ٔ }wܴO0L\j>(RX<^pt.:h;!F>p,&4Jk_qOSo3鯁p>$9ӿtG{4:m3O"+DX80"#Ym!4FR}weKrguӟXm?*zmջh$-)7q&H-#sڍLFNMI#xِ>Ʋ[3_ѕ[W]e;]L@Tcܤnmi' g/"*W/h| 00c0 /G%%P=G_llv$x(ȬXوmmXv||}G4"O(mۏgX hL(A:3!Q7}Ⰱ#U_F ]ZB-nO2"En;|4]-=b1`lp d -sƿE:AlYuYQ?`;Ǵ-޹**ϨP:+츰_w:UaMZa}s$v2הM1-pN--GsNK^ vNl5 ,is_vIc<:j?!v? :- {CGQt<V\*kk-']~JxVl){K5?4<-mKMK;K@{\4<|>1.[ٽ!V,@6N;yEu-}2@|v,26?\ykn6:i~=5Yu[^\D 2@_mߣMjwۿf)T8L͉HV=[ङh8 RʟW?*t>a槜S7q"H젋>v2 +e l=~C^=߻;䬏XwۻoN!Mglwh> 0W/;f:=/@{V]M߳V΀xgZ6 wyH؂8Ooғ3/@ϩFp:Ϥ>*W f]|$?gWl۫P|Io%Z.,>wѳ(!}`ۻS:?TsI%65@NR~A{sls:? b,xu- ?w+35c5:y\oH~ZB&N˴~k ƜPo4#)Ȱ?n'OC`c߳Eg~xX]Pnڻ59ANI I$RAJRD@RI$$IJH$JmuPqo8 ۷{CM8 h6_n)om:W^v!/Ű7w-vY뮼s' /Ds1OTc$Uӫ~+?H[EHWo[ j$_E/W ȤJ筅pRpYnJoN4]3Q>z7>OOրA^T.Eg?*F2?, i竅ȥNbJ穅MavJJٷ "E%SQ2Rp[{)*ط{?`_`nZL~ 6`R߃BPb7tX.?ԽЭGg"Gg"b"D%gCgY>K\\K@UQ\TJRI I$JI$$dI$)$IJI HRO) $fϳyأW;ljվHL.#[!X&^Ѝtn4_o ΂~R>Jb53> ?һb7jt}?ƃOzJF=?~_#S?'|ß yؠ?m?GS#C?g_ έ4`_[!1;JFgM7n|HwnjN[jۻ$?~;nߧ:ς ;v COW%fwn~IEwjAsmdCF"=YV4n$#) pMnCM]\AϬGQ96RLh'l-OcXָz~油~^P5e4luO|x|@wmu {X̍#~kc~A\7GV U Ls(w%߅b fQ,Hh@ ءpCLDŽvXDd?  rqD C 0.Z)ȥ$w_+ mHfApWzRNq!Iv lwuDT{%LwT*MsJRBtRJIBhI d$dWn#$DM+35bz${u-<-|vc3c>gM![Xf|rH JTIBL2*R|(pe[Oe1: @CFŧ] '7Yy1 :cI:ͬ^ݸfu>i'Sz bf{D*韟S Hlƃ@S;Z"ZFt]LXkm h#lg曘?.4u2LpTaj`. z|+C)A?BgUdl 4xq5RCڋkocɭ-AhH, $Ņ%*/? &џW/Y_̿'C|PC$h^q˸:M3%D08 ~g!DD"Lݯֽ.{ nL./R:@.Y(\#p3§<՗>3O%`<K4jl; MXm8 <\2V-^ݞ9Ig-Z誏o\u1Yc -Ν):vʲ+OَMϴXH|hG mU2 ,>dCIWbc^$|iӍe2ݤ?".dG -MqtHPޞ;՝`]}TSsH3 w4~uya$,?+XhKo靷n[M7w=ύĘTBpU.jf?I7sX4b{pe`a%@(:%ZقڶZIǷI  ^\YԶ}B"SRL] UI!HѺi '&oq>o:`J,uz" x N3F+XjI?4Cx!.%I׺-$$WAlLtt|=!ݻv[kK@7mՒ4UfhODGٷγ v:G6}gUepO!^bBg6tw]g}oёзb7j=IEIqFv5v#|հWź5QKU 6Ll<'xn;bbU$.?-W ]ϩՒG > 4%0ñy(t,_cu2I2L\Iho_m_9z~oB#ӷonLxjw_O{q%-.1҈d ~?qQ?FJMsI k>jD[G<5~$7{OhwC|?;EO!;)<?ߧxgw5<|Oӟ~wHtvS +[$ +U:,%~Ai O 6^{+uMΥ7qq ȧ.mn'ߪIx/]N:GlcLLIճɹ;t瑻CطAi O3FFXn|۷4߭ulKhk?>t^#*}BcH7v(FQC5]~#~uX[  +!Y5M .G %ۢ~@ZQrV@YD$X/ yWcmumw}*;}Ols6@s.[wk}iƈ. =Wp]?F~ L9=GG;,ta{A-hЬ`XIчY@qT}WʹgNs+$Z߼|aG# eNrp=7㌦8Lsu]4߿m7&b%Ij * m~:+x"MYy+c+Ջc+x8߆"}C{m#w8dvBN0hdO|V48[0|=MS{n7jG7^ܻjƢn k}ख़McKKuƪKBmLk>\]CseiIi<2Mn !庪i}f]idgcF8%I` Ă kuTu/ t@:Fmtփ$[^w4ۧMāB WTnu-hAR-stxx[q3@:n]9ć%p@)tW .9C x=Z\ܥ1nJ"R; t٩9ñarC?r[ij !G|ѽh?1*iO+{_rn">z P)I^Qgy^A*>USFw~T|O6B7]|<\#E*?nxem9߿@k.oy5]o?7B'~(%~ m#&8??4GO![ߴ>coӟWsMw}5fYC]COW<E9ߧH"=?Jgw4bXg?7iB~w$RCի#W~6:sOh??t ~ty"NHSdAr$tI$,S& Q,^^[GL&U[ _DB?'!:OA&ƸNI+"z48ta.Ӻ)k$Jg~GԀx'm(h.cgÒjsksGϨ48P rRݵOd!j&pc-~DL6a5qFDw>O"6D7)W%yUL(Cu}#| 1=R0Rΐws q :Gú ;*5pG(4[6Ĥ$$$dT:I)I%d $JRA$R\rtDŽm )yI2tT΄}@75iYxd;mc^I> [}3esiri|"e#ֻ~ ?Ku+,~ ;c,xpN)ഐA :LE$dV.:dIJQN81ɸ~~wV>ߥVn߳ɟvz[KFzT?o;#:{NJN,WOi)=$;m?gB|ٶu1_RԸzÍN$8wQDn?6zh#!=*Dgv$h{=fi4<< mё|}goO!;%&=q;~r Ch`;P}g?;}>!;(wq;~%hLuWIoݺ>.0 I:?EOF^1CZ GzU%ݹm[q1Ǐde!Ew [GdAOPpM H?)Ԇ`k\828'j);;nN hx5׷m< YVO@il"a4ZHeNymHc !i(mp+5vFx Uɪik iph)[1}hf4{q棅u\M~Go 14vr||Ŗʫx-в$hnv3|;j$iާAHnkN{{dciqsMfpڥfmcVK @vMg]LpI"cmkl+ξEMH㰍F划o !ȲԽ/ r R-66:{Ǟx1Z։%zw< SbZonqz{l>,DvN[!Hrp'ena #Y~[I~S]3~$dàz ?*"I*EEH'M)B4'!$TI$GTnTT<+1HX}Qm$ƿDg̟7<5K'>×75vUnD IqsgRUMޭ,ʸ:hπR}Rʫe"|O 2'3i;&ξ.RpK;{8b>A:t/~ݒv́SkKA!fԭe6fJϳ#s%]!Rv$A%YsH,Ή.\sV>ՅG^U_k$_C_We /TDTl"yZ6:Z@;2H$i;寇Vˑwcc $"ds!qnod. n#.7cCCmw]-7tlO<9' hH2gWa4x jX+vK{G|R-:;Qݯosy3?uz=,-udu= >kk&`'ort sv'C=MOnnCb7gUzŮiT91 F}~ژC[&IGjumk]hBmt캛7=@7/#9#ѻXT`Z.Prz_KuNr}n8HwT>Y&5uxb.` *'cg'^2]{5c7ݽK1:qYD=MN;ta D$j^d\p2ր`#3+;$7[sC`}sE-,'Ey" (5-C}Y1~=2H>:yt﬙>+psXsIxky~I)릞NFy]: guw>jXk!f w1LY~A=0f1wкgۘ2@{VxRP.#~%JUXkpsLӤ&-).lXwV7 $~@ufq  6HܵqyB/-nS:Z^):c2cE|bd=+S_q )Ng1+(](u!xW1x]HD){?zE$Ia1]o77Rw͉TO N9mL)[3^X4RGJ$9)$ڒI$I&IJN:JRIJI$%)$$$p:鲼q uisChq=;г*dƶf!B@˫40L{Go 3-@piȣ:ZsA㤴.#UfGP>UY—@/@ Oa(sFS'0I$,I$b:dMxۻw*mcUKƃ>?DcWP gwn~#(? ӳgtL}}-~KgH?hmG|T_ѻ?&~?IIԻcS2,i ۻF4NӯgtA6mEnӼmݣ; v/ wb<-}=fHUϲ7}~4~k>(?G@mSp3ݷG@m&N7NٷVƤVFMԍu7YH7֐y\p/l{0Y4ɊTvS`t]f0=g ձi.p|6ʷU 'Nv\I&H j XG/Ƀ۝Vn==m$6OJ"[NGF`cs'.o:ksXZKih>z:nY0۱gד}K:hkSD"2Η77n ck 5"]dug#)ߑ b;>gh8j6t!c~ܩS[DCV2,_ń} {B4Z0<@:]IߕK1np;cEu[lcIyl̉VyH3Gv\X@OUT=[FN̋,ckqC}'i zm^^!+1g r1Wjɇ^OJ[à݌TPvlgJdҪ^S5)$'BɴYs~|N*̚w*1܂q]eŤߞyyw2,7"vX7}ABIW!1I7>],"2_6Uߑ#6AgĸR#[Xi ZLO;{`a5d~nCb 煟փ]I:״gT˜ͮ \Pti.4O&{cꤓ[1q[N2P[B]]Ƴ->%a2Mw[AH8$+KjV0"ubu;1hm .eGY'%џMjִ̒ 32p;] Hdj7{c˟H;yP80qڞ`s LŻF̦ʽ+6jh<SA.s]^BgǺ}[Nc;Ѯl 4j;sX>׵8+l #:#j6+>?n,Zxae6QT#1NXl|ERYőI$IJU:W|GV>/ʝyJ8&w> t*ٍߦWiB oKճ.(Q__ڻQ*3H6ǚ w~vݻW>Ioڣ}8x* /~˶{>Fw}Ht lC7m4Amݫ{H>Bulj|пG3v - =jL7m=Ha(GI¡mpul}/5Fgc|RKL'LHUg'Ar:dRAë3 pv;խV2ѝ=;$xxItFp:uM(>x7.V^6Ex9nst滯wmg0Aի队fYԙԏwDԹLY]^9^ˈZa?PwV2[Xd=1xn_Jf=74I¡ا}bkn 1%u+çR6 #BhQIm$I ґGȯmudsi,mmo b5 h/u6VݠF/Z]/ i(ypW :DPegyn3YePw ׷p[43ei~Lw3;ine]mcSpݔmq6Z-$h|{ ..> Zk?mk{xM]u"珙I%1eqkWOSr^9eM p\โ_&jP_[`4$/R6RBrDE@Rbk6'_E*A4͉"K$HLo{@P (Mqo1":KF4;?}(:ևm??$U\mԗ>DK}-:k(Hf|$jk1bfRzI$8 4&d_c.`$H8Φ P (h6v:T6[ +7"chkZ8kGd(GmRDgI$&I$I$)1Il}8z{oudXt1j}/i'GvcoU[Gk$( }npwGG⫏Gӳ=R#u~m>UI@+_G>PA_kϷ6tc_ʒ [Ӳnh:#<>*tb"$\o/}$!qoHiaZN$iy7@yҞ` s_[z?YjX`ML =veݹIOL%zSX;x G;]ԆN.xB8VM˗ў(sIx ;܉[Tu}s-gN%gu[VVέɝasZXuo3kZO:du75Z!F^͓'~Civmgj e7In2@Z!#IW!b3HnYc7M7dgRoIGtIm:)D 6U67)h3uI:I)hJI%))}d3# $S,02UPX\(b1߫\y-;OEUG̏M,6p2n:)I$3%b =(G=K/oGTsatms[.kc(y*xWX.nXa :l=X5"~I'z =44 [F@=K\Ӝ_s`Iyx?H<&1O=mm6 l Ǚ-{2u/k <}/<0& i -Р*RqvMdȒ>Bσ  c JABIfvPr9YG~D??u@ϳ ٨:9nJ+6;x d7cDA{X܀^'Mp=mvE?F}?bo eSrt-o*Tbw#vo@fI=V7QfE_l`udoۤ)wOLt)~Ö#F. bdc2e,ō;1tj^Hhp[e3'#X+GS-pӉGQϽ<zl@t"9w:hSxZH+ZZ̝v!?^e-Xl `l~ qqpw翂Pn#cgcǺ?_k935}"X - :='`qf stPjYݡ[=JB]0uN^Xt#ݸLm 8}5X/LHM&xKvl BRͫsC1r絣sb*Xh";yx%ip K`F9h*RibRXFֆOʊҾW/FƌmgI)Od-nW $Yٸau=f"ui}Z£7/- -иef:s78HA?kW:Iʭ4e=|\Wmf4D|WQ{>?T H?s]G6\}w0> vcg'[576x=4g>}5h LgL-[7'*Yǫ9 mp 0kÞŇ`5b;vG.=-QtP+äz97GOd3CywyT ^z} hn!tyxY؅cA:Mq%4( ?eQ7z$lF-7IyJqǧevQwo:.>=u쬂]ۚ8wDSz%@S$R F7g 70#45xG*A)S]\TYS5<&<[ p:^;1H k :>@>Omovv' ^~nwgyn^=V٫B<`,+N~>*CDtljv [=VHUϲl{ϊ??A}7hx$?tK?J4th{vj>*[1Fw[ܻ9@c?bmͿ֦lnDyolOG(Wl{qPfniʕm5$' BN'L%)\Qs`As{iϚ|&y =C[镱lW (i˃Ã̷/~Xe2G:_>k\  ЭAm@k]i|~F]$\N%ߘyu`pOnQ"$s]c =Ե\eka@ ꂡCpa7URq6!QvAnSՍO1e[*yai5vRyƳ-蘐"H^m6:\̕h is`$δwKŠ@KO>k::6[sߙ)&jYevzOiLm"̬v-`䑢b0A/?gL1zFNe~ /nV:viΟ%w 5 -˟4O=8=i qs7x+-I;/}Dρ#-NUΩնƴY$iYy$s7Q1i9G-ZOߤ"Dࡹ/{fVGdmgVYt{~Yk/i0iog5!ejPXp9)!t)9@$$ H3p5|(& |Dr F:Ppl\7q svyOөUw=Z׶;pJQ4IETXI9$$Z$I$JE2JY.>ǒ;^[;IpBX׸ $ο%pP[^#x%XC| @: [*O+3~iH՝?v}V@wf|шQwvۻ;ϒF$cUGϳ5'}up= W&h[?cV<(?ų3D+SJ)>_nunPisq{"fTH1O"|DĨjj;;Dq'GO>o\?w*,`Kσ߲ FlXl&,SYŧFaJ4p^q?I [X;Sr&`%pq ꢆ][C!5BσO|V( M_$3~Ab;# 1gwRm3'wTV5s l~P216 l%f~J;wwEWĥߢh<5Z-p !tw8ٺlkGX5=M2'[_[I.+7Ezi33%VV˻]vc .g"HOq)V&GF͛JPn%N욿}xD}6=׍c*88{}A$U?F-vǰGe*4 5UvM:+i'ڤY 6K:>6N]-& ƞjV+2zpm=~\Zֳ;00J!zAUZ]=Cces\^(eë~ EsqkDv?ޭGXD? 5Xx CQfe9A=JWJe9;w__\ F8up\&On \<%O*ջ(c: XU>˘&>#eGؕvVS_斺ZZL9Uǣ+=vĉMEK SfwAiSKMmgX36Bk,:q*\H-iןJ鬥`ϴSTFZ^TN<$Q=Ws`,]sBU؛au=pXo%loA n\Gq> _t}:DvH٩1Y,EF)ݵzvz^G#U,'t|4+)fϳ /̑8=w%;!tC~偓3-NvS~կsNL]ՃZIk4FvbG/H7 =OUո;ݷ p7؇8A ה}G_a= Օ.ۼin$*]n~_h$4Ascʚ.6`l DcSL\Ah n<~#^X]>ܪ61cZۃȮ\ZxR3XGZ w0V-s^ez5ٿ;26}6o=cV8}&{]no@K֠G.1I"J9y`mU{kZ5rf;cmF瑸1F 7):?V=EIv8LMsungwwhad[hO#P>U Lq tS4k߂Q䃭:*VU:YP<ּ&0wݚ:DJ[wxȘWnnGp}DZW7Gp|ԲZ>hgv؏%*^ S6j{Belwc= fPbDhWv ەSg1^-!z{R/1OtwCoEߌ3Sf4\zgݛù>I~.oFJS?Go#?gD2=CJsv$3oRkGk$(~kO>)M`萒[#C ](I%׍nC]$ґEH"t>= Z- iuֆNkMm5ݤ:*O=u{Afީ}^~Oޛqw 'kk!z`ӏgS͎qm6I%#M>!5w:PY27lt1Ӈg+BGgJ<967Hwe\P$pDj _;?N>tv~?ޒwn9kX!h?pcHҶ _v~?ޛkY)mIs~ׅU\\Ѵ7k7_4-eu+'/q'^|ק~qz__oZӊ6nee3d&-9tn4O%u[&DO4ͪRֽĝ0OC:'9GBR|%NFKiCJZY[E``R@$'PpLh)R LV& |SSpeb\R@{ik1s%ty6E~mY?P4L~ߐ*Vʘ]2|f'PJX6W!U2-e]q?ʃTdq`LLHPK tGQf'ƣ̩e:kxZ&I,)m!$q7h{.:Kk$8Dkʱ.jMXWeBD惬8v]ep:NԉǧUeSL iv㶇`?LJ27@ _ѻ$l.Mz5 vBz7k۴ t6̆_q'EgHo% )#RƐt #̭Ծϲ[sD .2Ch-gtsH&Ʋ=Qe"6TQA9%y&-/v}*sKtVCSv! mOCqx^eٔU2:<$?_o_W̙)q'̈́D9l0Oˁ$@O}sKHA#lt}n*?[3[kF\ a@XP?/QvޡI4IWՖ3vjo!ccpJ-7SDzgml{INp_`"eٕsqOeМLntu-ݧY+7.Wo>>Ag`6Z[ kXxl|Ϛŝ)}'GIL9h7)m@]t۝LLG]؄g>[Gzv_H{26d;j]C% OB'Cs@wWI 1չ50\{\׎א[ c[Dwp_e9āWax2vEzR֟GJ[d4# ^3ah2]WkZ=?[oXYuO6Ua7} ABIU!1I7>vs?YG~D?>]T7wkk)Ky!-m7L8EwWө6v+HoBޙ`cZ~E27n=0:6})a3+C\t.1Qr|;n2 Z7X2n`\CucIklh:G\˪q`;TDل@@qM$mEǯ}%q`;ǂX kٴknU5!bG~ vo֜HIlkr6յܴO-d=H>гsNuq2QO[72 ԣ~ұME;*(%@ىwR/)-m<zHOޜtl>8gϙ)%1uZ!\rދ5`tNC^<WEjЩ@D}:& 1)H0?MÐtGusdtx'4bܮcVο;s!(~? 8O وV._V(3|Pz>(|J;K>^]eN%C'"nDɟoˣ'Oxs%=Ƴ:q|Q3m>8=>ߙ\̷x[VgO!%wّm0 =Q7f;7>'P +NGWdceO8 {#t=&Ө:rky]؏(ه5pbͧ6%k 4>3vacOk`5L23,oEӀގ14l룠re4 @cI.8):`s\ QK4dd]MZeV+hc4h$6mpuoʭSE~Ta4<&6Q#v8Rix;dLs ٍj՛"; ÆQe,{#Ϛ=&}/Sw%x~ ۣj~6k ߣoS'_әz6n-WgmUMG}K~2'-{>ޮhHK~NSn[g{3yA]nG ~Ί%~%|#F۸$3_R.f|@e3>hW6O7gS~5j?_W#*#I^{SlCm q&"'R=Ƚl'i\s?0?ؕ+܏w}'r L SD:|5>{_o~koJO>׳wfb7I^{^|O?;^R~p*O%{hF\h6wϒ_ZmsK8";pzV ݸhcIt-Rٺ=D;#nOяb 6Έ:|g-;w +Wms |hRetq%4Ë˔GXX`hE>,0QRgDgc U.$ς謟w f _+L\j\C{wZ7:f2 p~,m{k !]6sK\>rل?Vfo`5Άp5)eNsIi&#gthemui|~g:3GF:׃ kb<2r1̻ t %tO{ "5om6;z1HRT9 |;p=w>{ !ѴytU%8yLS17zas\>=ĝױg˝{q*Fי<ʌ4NԒ%(ӄZ[ѝ^;ԙlxqǺ||I. nۮ1\և4nnx*%cCvdIӂ/ v۩♘w4:Κ!1嵻s|b{mnZZoѤ)߲˙Y!tu5گ~.nCvέ>X&5 zw(ۥZ̻+'ԭ߶ 4se䉈*7 GSeGKӷHUGLxJgDHFZ`M&lT .k/nU"gHo%?N>)&-F?h$k 8Ǐtx(Y {KLO;tVEsZ'LVBFkcYn|÷iBv%~apwB-[Ʊ'SǔP[Wvmt]9泺Fouow0dU6.:‏ ^E9ѻcc^FбZy p~s-h =f72'BF9nnky]Q[q $-@0 еb^߫P 54ܬ|\IfݧRHYbHHdU̬yvF]dsrv^} a U2kD6 5[5Xݖ55|qRB>v6KgRXg47֏ϝ9Zxzx69ݦ~-*d)n@Yf0~}BDtxs|M vLx)qi3:YrQۃՈK4ǷhY} 鹜CdXz=y<<0שc:}&H䟂[akZA/0;Ln%َ|ի;1r8bMY氂}! GޠX tƼb>[mkYh@:J.K[Cۯ~dwU;[kz:$ @k$+;mు ̏yRnݿGK`k{ò^vHF??roZwgYu r.e:A~S…9u][xc}/dwUN̚qc Qz!8neO YaK^Wo~OZ>sL|,^YDH4؏fDYJ2}wX550uG;mAOGv*vN]?߽/S;tGtS}oޗکN;JֶAam(; ?*]K$1:{6$ F4\ Lu`Z8@@g#rB j9JZ~+J!a -v%{M`vux ^ly׎K*4nEiћ#1nXtyj:`GOx~ hvnϚ'+g 7:|#kUَ3媱^=s #"?oDN)Hro*V'gIA@*^eH ©?*NS5< 6U>2N|ȘW:Wv߷H5Z7fof,d{L'>=߻;䢯{vrk=MЪ[O~TٽgKɒPG聹ަ[tS|}E?6o}7ߩ7{y2@nvEڙ=MЫgFwxϒDz۩e >߻;!ӣ7G9@>J7\=#d>J ov'#v>yR# Bj#߯$={|R^*JNF}b]uQ>ڽ~ @2u4V{1]M xu1[ 61e~*[׾ŭ Ğ'Tcsnn;sǰcDTzjC}ZI5ISd^i~m w$ohuMnf+5ys_,ߕsqȵ̩H#np9{÷A㾜Ѵۣ@IJ H&>`OHnL񢱃]5YSѷOY>Uv 848kÕ!k=/{QYeV6H .PK],ďR'ilNk3)}:ӗjr0͔_kCw>)qhwX;'YoN䁺6ߡfQ^| >\~ {ڷ)~ğ3}Wdzla;$@:̫{'7wӑŽnv=؍5؍aݼPoHݓ6h'HU٣E{t3^7Kh;7Bg5ϸZMom[b9F;+N^쁑Q,f: ލkv;ݧqpIѦՍ}nv.^#ٯh:XtIgW4 oc)ۗSi l̜G㺺  n1(]޸ౣ5_&t,ƺח`yy}]굻 pi #_;E kw!Ǿev۟'&W8kr5䴍Z)+]ÇTsMvE.d Ou{D31ߐ*WU!Awn=7it=c@G85&lcsYiH`hhq ox*٩91QkH?%:fW'QCTu*u-{ylfVp=4rcKBJ>7N̲ h`q 5oՕ{rv8A?GuU=Zg|UplƯ-z .:cXn$@ Tmd>`ۏʶaUYrPϻ`6#pm#ѳ~fU6 .;'.Vzdm߳ΐip6oӬʟ>ms ~~:G`}oٗ7 {(KMn2[-sΣVNөx"֒BJ]t׷qtt*7zvX[FDu-sC.t$Q~NH}&JK 4@&B[PjݟfdXKVNUDXk/]Jl`8A .\5L-!N<`B%SԨl {]cBxJJjL6|RUUk hJ V:P]Cq"걧B_ZX:|u,q@xӔ:馿/uv4rs.ovǔ\k/@i3:VYnS$l1vuo?j?,=A59;C" 5ȭ]PK ju&|Ux sùOJ;JJA FDz-i-p9bPSw \6#Ƕm  %)&<o9ehtv:.-am0f%- юGN!G:zE{5h C*Vn@`p'OsӠuLFb^j@O(S$O;׹poێ&HOdۑ=qQSV$"XfZaߊGZݳzA;"i}G |:-\\ḵdi}?{ s}k7qEt8_hG-|ŭӿ&:6ޯͺυ-sEyqQVn;>){6n;Zd ⴣ VӼ #Cz~{&'/ݼټ" Q<ғf;JT|lVDL)Oh+?peƂَië 4DN~TqOܗl,${1j;Oܐ'XI%ZߵOܗ\q?rI/f>*~kcaQKيu\rHRLWCa 04ar{.sz}5 ..]Y +=g/'?z$Zʴnln\7kSOL:Ǹ{a#N/ 2I$@=GǏ3DXTet~V0%A>.^d=eam*R8GEE{( BרG! + 1$M) KEiRvKi1gXY"8$LRrŒ-d^U_~Ta5ii-+ǵ܆E~; ͝ G *sO=uzwѽH [igۿfG6cvn;p|o靷nABgpHttCiο֧mݷ'G496'gVOT\sVlg'R}RJboݏ6{u_c~GLZ~R[x!7m6'ۯmmM4*O]?)G}tGS iŬ92twr+T3ofU]s;ZQL&KI\)i w>0qi@2I]Nq^I+ f;m sO3⒇8s @b}6nFHMcvLuC?*uuhh#+iYf-7mղ!Wgk~IZ?z`o+nEn[x?b;yw虿gёxf"fxZ__fߧAf=6Q7 7F/E3"GO_ sg'peV[\LE`LjzMgsKoe<CĮ]oG1=6MvO.AHl=nWc5݇_RtkY~{*AGH|:쮷1sMpI2y5ѧrĺFCE״MݷVȆ~ʀ?GѳO?˒dFKZxV6 tOє~ϣ"#Duܘk lf]޹6~|p]ܧ=wӝggVn=6}*G\{Wm:wCpo"@qkM<|Sw?V r*՝bQ\{6 ti<ֻ¿m܏7wΧg$v+kQ=0{Q,k}o}~g~ָ붯i܄z?O!-õ82]UU!.l{PVG?&e|ElIHG9ŸØXZCé3 r.+lcYA.c_h=ZcFQmMlmu&Q ! }*Uom3b(ZщM JofMoSsCv #&h|8Np%gXZ#cFd"om3G\cK4=6r">:9+mڿrcmڿr)VyxlYcWDdTmbCtVov5l~C!kװSYdVlugHbzURU۸2~׳*ȃXYIk)-uR+ketڿ]i-J˩utoQxM 8M1һ9sX\}6aʿ 6w tNP{;l%_>ݵ[PRUm$m6?yFNmSoTjJ4*e3HZtmRtMI42Q-ֆDʬ=*U(wC v?lU*eohh{ R GVoWj-}r4WNE:#%A48qC~ꃶ1 Hao7"aƵ }L07~d* J6]g`w;]Kh'sy!_.qLI:~mSh5$xxmMAw sW:vIh']¯e,밾ZC`vwEkMtoZ솛+I'"[͇;Ma M:ele9h"|~S.f %66: :4IW{%U*]O`$/EK=Ecf owy-j -;$A;L7nzvBUߤ:M_h1}v0Yp<7[oП/5"(:{7ţ.De?<)Viٲ҈'AaN]I/MsѯFw}?EzB8Qa ov`˾7v]H4?UQ??*V5ѷR3] M``$rC3囙3r5Κ8xZ"(eAqfa:R5EE֐5wֳj8I"~yQc30QUl2ߌ\CƅJA ԁGat\IGꕽS(圷rp$PѻzPɮ/>X {gfus_A{S`xsA">z!RG3hh`ѧIV6);Yfً9 ;*غ jv}O*T }~@g3G~Dj}mb]2:3`'`vrře&u8{@h}[q2}@ wV @m FA"&{Oޫ_8t|:$e?nWT;xlqUC*$A)+=XKI6ŞAԑU_OO!9{% f%cȀgqDђaq63 ^Iws-0*uhoڎ#atfUWlyP3eUUMY[= &^U2K&f%8eǗkPk,xsAdyV:mm%{-FwBs\cG7Woϻnߧ|I쵨1 O.[}H??;}z;>YAV-l{Z`5/]-mK?B4l>hgۻWwo'v~۫ }?Jgv4GCaZЉۣty9ӿb'q9sut$?f˿Jy~/B7Q!??lcC_(13> 1N|R_W&͸>Dk6!1=m?3:oݞ1:~_˯t'H;Oz;Mh#v[#}*Jgv4f9??t$bzcnKcf;"_vjKJtF洝)9_Pn(0!8qt<4 Fɱ?}/4$GUK$64꧉CCC\ٓRoϰ7~Pc`8pQPstkB >Hԫ~LJ0A+HtA(è7}Gre-d:d O)0SxB. ;`nBuH8w4 lLb|_K[^aW$e)BU;`Ҁ$e)Q.)E4ȔFRL)Oun4 XCvӿIuNGy}t GHk2Q*cZ@s^hLJiGeR(I,HfLSkk-.h;]3|d [ͫe,HP5Zk4}  בcT] 斣m¸ё^üzdDy$u_hrܯk/~-[ &nѯE߲;l'BU)YkR; skF.Cਾ) R<NF)D @$X&8kipy@6Z>)*-2QEt[Uw rk h}塒vHg[w&QբIFR=TKf!B)OJa}`ҴZSƺmw*;U3uVId 3!}yj)(.ۋXkH?rBku6:'A)$S cBQkEeAq AQE5=Ii:(j|'ER4X&Z;xZƲ@Rb;poo|}[הO&B\GqƒQBWN1{tnݐ{-bv[#ƿa;h PwQx o٬;ڷ3m޾HdE^vݺ>;FwONnGT}GWG XGu_ GG&5;:}3藶c@_7|_ln0(X N>eH:='Z=FqK~)L;t"Cw]n 1ww7'N=M4k55m:֛rjeָ<{FL-T}&5JAt>`a8vn`"Uz~&~#I1tɎ˚5Qo2R[vL~:oid#GюTt|<#u. 86\eeݼ1ㄱke9q$9.TG_&Yo-,vCt.m|V>ĂM8:=Z9H@=Y\\oRǁExGrlvή6C*TQW^GMVc% wX.ݾcF[S+k?TFD®)%O'wAI7>/;#gGe5fQsDfv|BNj1[̽-٠ş~] FxOY#??T-nu:G--вz5^ܕ547FTױi}9d H5 ^Տud6O=<ϽoQZWsܷpGV,$ecfEڽGH1YB.=ͬ90t3-vn.Vf5U^glo 0Z80'YZ8YV-nŅ"eYkI @=4^Aik-A#oc9l.N'BJ|L'[bfFidn?[6l3xyC~O(_Rэτy[;>>IC~ m~I}gB7jF;jۣty]w}E z]I]ߢ:S%iCӷo7mO%Z|_!qCˏz HScοi%/.iYD4??ϏZUcdN~d>kIV鿧So~[>t2Jlmmy Mݴ@IJkrHKnzmN{ϋD# w9 RKU2:u2m$4.afdždk{J[F8rTOO5 ZA{&ԙe}CZJqvW9,UH*FOa,8^n ġީ2; (چ?}ͭleG+?ۆKl}cVCg)Q&YSh4p~h{jæ3qȒaQMvƥ4mk;<S"2}l+ \r;t#k.-l_הSC1gJ^W:%ۚVwMfۿ5ϒ֟%w>\I@h0=cxtuUoYg,vm Uc8%d*]g脡5<&7󍁸AO= `iwoƣ4Az~FHV_ 8/C@'oO5^]fMOH==H~wRwSf_}괟zo~jijk4Ou~Nߡ_-7jFp~o?!;3j@'n$rLy!7~?%.DSAXǏѦi.?Ct"I.٢?Mߍ?FKO۝=pfE4MKO3I9C]COo ~w4f>OOw'nL%E ~Z5D|T`A reYu #~5kGΜ|r/ 4I. 8ʟOPO%FaQU&_ҮwRUUCQ,]$Td0LO!>Z<*kdD7X{vI|bH )nx;xW~NJ >Gˈcx"x$-l 7yW>'fSMgs'?z}6MOR׏{)'7 XH "@1?.$C\q1@C~{ۯ\B6$c7R6&,ӟs)+޴~Ɋ4&'7/bgsuW s8x?~ 4?褮oR޴ 0Eo& Y$9o$upO^miբb bc78,MCD9W>; KraH:Iot%̓z0mM M 奭'11 \:S ڴG_I[:&2z:]267x> _PMiiҋXd;|{c6H%%0{-+kcw g~K?s%hfa }&} oZ_c}M93O/~bbY+ۓnZm:PQ/3g,%p?rmKuNufgcq6xXK6 ]5^5bqHXK6?L4bcӱ&Ͻ+Vm5_6% nϽ$[֗h9 ~K -!x6k`ʼn#I\'6 i͉gs6yjĕ\HsW h`DJ\6ex߳?@4 ]=@ G*X`F+|w݂= $L;(c շ?[oࡊkfhGcc܌=]0}75)lղљqSRлl{[̪mk:VepC`>:&EAgIkbuwUQvOH =A=V|G]LW~]Epnnd$ո6r& m2kp^ͽAfYIV{-`vtc;-ٵXX畟C״%\-n4,:%VaCrAH5D*vvZuR|G{KG}n]RV[HP#d#zn3>(c]>r2z4=6󧥼Μ$gTg}Y' vYvj۾n= +n;I@j,:"_ϻpm;~*ÆN}[1ȂEE*;3?Sf,;l{F#1nѕݫ7xGWCd5ޤb<}g{k2fV{3欁gS'KRF0}fc{eXe~0l7D36J4Ƥ‘p>;C YϬKqni+m-ҷ{;3pɐ1#oy8KY[*~4l{~&][xO'ٹߘ}{iC\,sk=]6G:\V[ˤm?w1O٥AoXn4} *zPRC?e/;#gGeM~>\ǠwVw]>I׼4>٘QlKݾ /$_Z\P9OHNU{KdhZZI= l;dz[bqmO=ŏWqL?ص/އ_c^+~ں9JhiXKFT,7wn4?^c.m$}ay֭s%2hV|g? >E#MyZ돡j'N%HCWߡ慴MC4z=4@k_wOZ~]7}E-w_Z{ j ftJGm-gD 6VZiuNc\Kīe0N䆪nFF%{qZ/1~ gպ}f >Z㯆Sôsz~$l; SK<;Og΍RZ7YrNR->*;\.:hW6}0"5GU߿2wK'UM+ Ol*ŪF4*S3#*X~hyҧ}x<66#_tnzC4#Hm8WiC!ޅ_F~udf#?7~|hz{}3O#w@O-Os*}]xy+{_z}ʴ;>C!;$}?{Jmigz{|ag7jHtwC3_?GBf~4b~N >u~/+@~5"7QaƇObp,>醜O_˯u#%h SHm-F~i8?m4kKL&5:{/׺iU/_={?W1GC4F6ϴY۫y$S V>AtjM %QTwQ:+G5l8iB/k Zn~<S5QwA >"Q!~{Ɠu?OPLECgKk8:L?k9y: e 5g#v5 c|{ 0uo_ վLyr.+|L>ɑB$ b>ƽ=b5s^:Œmy|Rxip5#1${۫`C&YkH:~1`ǧG?;NY,& `qrH nb>}3?C~P~q>JD {u}"A-gIYK 6\A3.w@n$G?3ȮK |T pڟ%#K{H#H?L!!ņ)Ms oؖ]$>ӧ*-Ih-v~Wy}Z%5&H#]|`}9ڍLp< :8|K;0U,sl.nuǶju >e5$> jQ@E!w~ƿS8~,a)I[z4Jf |‘ T`sJT^M3΂u#kٮ#SCs8s攛AcC3oC'͍Lcqoe7pi_vP;Mc#ys䘹L洃'Fk䆘"A'XXRݔvvváIL#sv?L-PqpL18H)GGm3)5DǦ#n49>H)qe2~D̆ 1n-Iy;X|DٔNátS?d;qik̎4Ȑgsk=84#)d_-~)hۉݣpYwjԦv.L9 &@GLC\Y;Ll . 0C%$}#BC Lt>zvL}:D7KG;)h͔$ZI#p5>I.K Ahuo܆۲a2c^h%'],1|Gޒ 2Il31Ch .Q/k}8ƣH?%ŃӝcԥVe5 x$ʗAioܣ$m'6џa'▊\ӓ3G) rZ\@k5)6̙+ợ3.qh}#=HݕK\7%\IL:Ht¿Qy$HA cPQ ô>hLDhAF͖Zܫѿwb<~N=WljEʽ] ߶vؓHjv/ OGf.[cM'Vu611Ne[H1J5{$+ sF&A/dQuL|T~Q4yFoJ+ nK\)}գ½*NtMnGE}%/2ǒ*#wwQԚH>i+CKѻ)}7۫s xI^&b\\|N҉e?۫?}艹v3W5yZaʵnaN׶ƱDVJM8-2Ìk%ۃ`hW~`{߽3Ft5o}FFgC}}-5pS!|#z7n|Z6t~?p`l>?̑rwcldzND/_5OC쾥d{9E?h7l?ڈߵzCYo<M#zLޤ#}2_mӝۓw٪Ѿi>j9kwGHTաﮆ^.D )$m>Gc~o|CS;$ m;#r-ㆵӡJEkSO5YKCKrL=G& ^\-1Oi[7>zsl4üׂd4)b@i[.>Iq)6^w,x=wH;li%<4ZEqI枬O|Ms&9K"gY>T^c_YCekPă=v=7pR>0!>=1dԲŭ[>ڃq߼tQuSU?PRJgYK gG"qkyZUVM_? |h5J#A^ kG!K\ OwVzPy9΃J־Ӭc/(qӻ'{{<8i<j[ks^<;h՚Ξ="˚? un8*Jm#,_A{˘67Pd\mn*Pz/nh@ո4x#E=71+5}g=պ΍Fc*kdLïgWm69";N4^Ĵ8uc^ wBȑNhd+ϴG1ٛA9miXn􏂌XZ9~F;=vߡCH6Y&];g| I.kDftwy)B@G`տ[fJ&4?kEQCB|D@"҈((A<@,DƧTw]1)j5D{:,c h}ŠyUZw)>ZH\9$I!-NtM_??ܞ\˼DeIiⒸ R1qAcײ?zDI=䒸1?gg3Ѱnܪx$&8 GR]EΩĒ}h!4"& SGeq8?\;zcm|JFO}}Ҏ2厚#!Gk>x rq4t5=5BGyrd`\颹.?z$OށȖ-BVuhEDXJNUU:Y>a槝*TGnWn=~L7VK.+wm-w'pWUк?8G':7E-uo❭ҏ// #|٠heڮ`bϹ8eޥߥlǸӲW*Dgv =Z;n6}O6OG U"Rpѝ۵gx۷FExٻFX Dz߳Ƒ?M2Yw}Sm#R|T"ϲ>*~?M(?|H֯۷VƯKme6oձ_J}?@4龺hsHkl=Ԏ;+ .|8 z%Ȱu27c8v3Ӽ67o$:V=Fq\ <.C4-JnMeÊZZZփ<)l׳dB&7^e'úC&hu Lr?z>G()yIthTeeı53"y>杽I ǟB.vzn7q$3l|MTRdD Nz A9KTaoZ>'2}'""Oqv4Z؂Lq= mDV7j;wHg> O}5Oq|?J"y#U-R6.?R9Ϭ5x?ڍA9Q$?$'1Cvx}8Lq~O#iq)Y$~oR(i=~F'Ki#p|TiK`$ILFs w $ߴ^;}CA'YR=J'?7ͩj=4>isK}7 t<ϒ*.#B5&8'L~n-;%i1w gN|a]2g[XyN-(qliĕ׿ZWGX޹]E;W`|^ʽskvDNt0][䌩cd1VSl݄$s2g2!P,($7 N֯ *c|cȐ̮o# ߏsvA zM X{/7*UQmi:g{f)Ze?*nSi_,b;{2#N yx4!{ 11ОK2Mou1ՙ&8_>Ls>~5r6 wԃWP& ט~ӬGh~*`F7B{97۟}7 ߴdQQI_St>i< Lۺ4EY$~g&\q_l1s3nIJ$s!hHo5#g=;v8lF>ICKGy$_TI$j 'KNВݞC Twih˶1gD=J';7yXϟފf$.8ҎLu Ɓ>I~Ѭjr&A(Sq$LhGNzI נ|FOC853$x&=A>P7SBg~;B`5 j ;OmC[82tdtP6Pk7 uY} 蹿D^lk:{qm*nu~h OW7w9 ~6>;<4m?ʏ"Uje,C{tz÷ߦNwRZ(hkh~iυ9Iԭ h#IH"rC`(ٞ=t77Xhthnaӑvp#W}IzðHэ?ۣ&&߳Unk欇dgVΑ?_}AcÞ X*>fV6/} u>V_Q cdD4%k\;t;gBkKܴnic.;Z`9Q#<9$o>-ͤ|S #CG6)*~iD!]9]$U 3JnMKݴ$7cX㱺 N~Z&Jb>};CJt正Zxhq9 skqP07tQgԔOwIIw{Ȏ&_ +nYc~sykku8D䑦#8K^}v<0qܶzU:ǒZhl{^GJ: *!GqQJ`#Ѵ#^y^Ƶ?ުa<ֈǹ?2'SŷXe&J =ڸi F2ϊWT 1VYk>M(: ?{""}~*a&G$4Z V ;oAkNC1ﲷD5+=p|Uӻ!ִn 3oڿF}}ivݿB5ߥ3}f}Gi+Z|C.۷Vw'Gmf>>*7~SN+_3v$B*k]cyoowb˽jHt=*je3>3nN)mһ6ϸ~QotE_w>>X /#tQoJ#'D{D}zuz"-nhߊVFE>vѿW)֧~ַׂݷS;(c=Med;JC/ wz";nϡG;}>മ;>EL2Ì=f|Rع 6>⣕KkQcVke,mxpn)s" z ձRڡ[~'e̿ׯt=P엀NjGXh պuy0:nps{t( 6Hwҹ6`Abbff&uZYޝ{t $-EKp}$Z S="ك=܃WwTIQ߸>J!@0LwTwmk%Sbjn;Fb?0}{rA`ʘOjLd:Ъua#$n;_+"QhHT)B.(qHViv~(qW_s8xp6Z7vq[wOw{[ݧĨu( =gNٷFƠ~}~_؏x3#6E߷WFxZ-3=ߣ{?4.ٷF?@O ̟7G߲p;}KNٷVƤE^~٣Ź?igmѠn5Hت`EqSǹe(E;-Og\-vi慶J{vϼ~+U!DOz#9nFy{f={|[_tϸ)i5;lCD}Kr6Skr=K{doZSU;{">jv;[wD7{Gp|r~ob<'ZhE^}~]؏s'l }s}=7>jܟ{=Mx OU9W7}>޸mհdm$oknWgGvIS?wѣG)ޭ~;6o;=ܯ/f4 T W:Ath?D\=-V4t|9w{w%'{Ka;|He-lFMEV{hed۟P;q&T* $(VkGas(4dpR\WFH n uD2 @vUN$;Q¦Ù NwAa -?ײ!Ƨt qIgKYI#J;QHyvE/$#y?؅} ynDCva%X=`%cxlvA<=Rxt~9nnî^FdGOVtn1-A>I3˽NmQ ]SL7:?6#g) (4lׁ]47@mQ~)7*l-kiWtFr66Kc'7<9<~ N4u2w1|n>3ѽ<_JcZ k~@Ze?v Z͓`4$wtwQ `pԟ*WA@-vl}'kR & "cM8#A.I䗥㸀 SQql+sZcɧT071o4>ۣMn,OfoF5a6H |O ^[ª)\M@Cv>)) YQy?aiw@Jܺ'SM[7K˾*2m>mG(ѭH{k*ȨA)*R+I3d1ÿyYɻ 5:ua-H'"ٌePwn\e}3<9U;RF艎YKƒ׀7}8~a^707ol~iwNCvzΉl} lIOiǷ ?׃q,c\Lv}$C}ݸk#(jw'fԾˎb7kD,cRJAiiS18mGFv;7V.'Ⱊ gS">HҬwblė\~H?S2|6W}(G/ 7kZA; WY@7h 2Aǿ/R-!˄}'~;q17PwMjLCx7Ox#wGS&v8C}&~e-!۸}Ex;p*gݣ!ȑڕ5T#FniM]+qhןqx"ueN2>4)Ӥ@->TۇSh#踀v#M C}FC> pĥ^ - 8w'(CL>_(OLA-~u#~?8v-}-#Lr?Rm0Y׿S`Gm?S嬬np>+\C{A`%f5lP끩 GyV،fZ2ƉI3VDs=f+?b豖!P`'&v;Ei>UO8߸ 4ٗK3-,w<;}Ȭ ߶ ~(}L@|uB^Sx '_ߞ8Io,aoA)ej<\A`:H1!l<~?kkkrKH`<"da]es$p{z[Kē?y't6OVcFy<¶-2WI!vX ;B K?ZƲXWLޙic^@GiXWX\%N g^.5Y.4PnmJVoK$"II"džL]UvM- \cV&LƧYQVnq锁 |r5k+aҲlsp"D7{o)$ºxc{up|o?w*]wZ}٣4CnY1vBQnsVl>h}zۣty!ӿB7> 0"E3> m]3M~h=)d!?WUpS`N>H=:D"}*m6zn-պ{|ҴW~?HwճG0ٷγ=cwh>@n4*jC[CxO?Nu|.4zcn|> >NUSNvM¯Rwy/wۻW~@N#R/tL2g{`FyJ?HL<z7~݄:5Zx_Y w ]2"Zٶl&E7n6. ]gXåUZYi0Y"ٶXA!wWWfo !j~~mli_7[\D9c~j 28>%r}Wʯ6:x`w?#wĮ] .<~Sʚ׋K%0:_bW#GE_R{ô`+J}K`tw(Um;6Ffvh<׫ؗGTj DoVvJ8+K}Katwĵ=zDA'$_սPvN5kտK}Katwĵ|)6Pi oav%z韺.!o\/mkӸaФF< 0>.%0gܖp>Qd$ŴC!G^0gɏ{;܎p>Q{K?~%J?*=|!F[ K=:#u^J?/nO܍ߊ-ޣNǸxZCN'~QETu! G?O> _yҼK:*w2֍G LoΕ%Kw?ePSo:_{B\#䵵b9T3o_{J<# 3?};܍˲8Gwƽ3*brqw?+dp) w??韺rW.xK+?L}}7]J^m`4kտMw?7?"dz~(-{l#<}6;W`~ܗ? {~*| _Uc8Wwj{B_|K`}׷|bӱޖ;BODVt=U=7xMw?-{~*|_Uk(w0En}I/S7x;܅W|n6x ,שmypM; qKw|yx=˺++׿s`~˲w|O|cv$`~i\R|6n ǧum}=ԸT-c[[h1,Q D4?8F}i=O AX N7Gu`a\]ϕ`6?.#T~&;6ƝXoxU{iun cL9QjGZalq?{*w6_a~ܕ|d:`{BMMsǩ;gX^76x}?rV{ jk5!N?_>#z@؃!ڤ?ž'C!bF]GrGӯzg7awܖ8 B?XwЧ۴ڽ3O?ron0|kؤ@>YE-p9%ޙ^Ů!~eHxM?b?1XԸ<#V1ۼ!?/lq?5h5ǘk t?"q=!v9ǜ/E!ڛN}C.#T}XZӹAC{sZw}(^4*NX֓R=_',*kè#gH^~/SoSe&?ڗ| 7M<zz6c?ڗ̺yn34K{@YG')ڗUpipwml]X7>R y?/8b.z`8S+4Ӵ>n+ 1T c{pPNI1?{pW«0'j_QR=|_2x`)Ǩ9X/LƺڐR2=|_2c i^?ŕ#'/m(I#ج82(96^??֞~==U{*}~ U^JJA,k?{"WVIuo`qJbzvW:wB²י/k ;|v`L>a*xvY[rg($lk_aQw_?=^(dd9 . ~j hxq7ΞoaJ8o⅞%[z۷J-`Cv5a[e +=jP -)~]6K/V)YU^Չ&&ҟ/OO?[[gWxRҙGyǫgv;+K'oYU{?@?w`A9OvX+Mҷ*/ 5??>Ә> endobj 815 0 obj << /Length 11765 /Subtype /XML /Type /Metadata >> stream Xilinx-Logo endstream endobj 816 0 obj << /BaseFont /DIKFPC+Courier /Encoding /WinAnsiEncoding /FirstChar 32 /FontDescriptor 817 0 R /LastChar 121 /Subtype /Type1 /Type /Font /Widths [600 0 0 600 0 0 0 0 0 0 0 0 0 600 0 0 600 600 600 600 600 600 600 600 600 600 0 0 0 0 0 0 0 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 600 0 600 0 600 0 600 0 0 0 600 0 600 0 600 0 0 0 600 600 0 0 600 600 0 600 600 600 600 0 0 600 600] >> endobj 817 0 obj << /Ascent 629 /CapHeight 562 /CharSet (/space/zero/x/seven/numbersign/F/M/C/one/s/e/t/underscore/p/r/o/y/P/A/K/G/E/I/N/W/nine/bracketleft/g/\ R/S/T/two/L/bracketright/O/D/V/eight/B/three/four/Y/five/six/J/H/U/u/l/c/k/hyphen/X/Q) /Descent -157 /Flags 35 /FontBBox [-28 -250 628 805] /FontFile3 818 0 R /FontName /DIKFPC+Courier /ItalicAngle 0 /StemH 51 /StemV 51 /Type /FontDescriptor /XHeight 426 >> endobj 818 0 obj << /Filter /FlateDecode /Length 3893 /Subtype /Type1C >> stream hބWiTatF1ӸE"D@ [T BD)*{C'` . Ⓒ(/QVm_9}U߭n]eiAT*; %̏LPRekY^ߣL v0^]kuJ4;nџ-u`gבN:5/nNCȊ%K#-q8.!biA^ :/]$2a9|"eIQZډӃ(OMQ>KQ(j RQ!j*TE PLT:,Uo-'կ-g[^mv'3Id$]`YDwm:ں6fWlm3mv߹s=*v]vj!X u&߼im$hlv@ "֣zl3ёx:Zd"5H&w~# (R^#}&s٨~G)Ѥ&QQ&K>AW=q2v5_`݁CW?vnQAU- :My' G"jYyŦP}z՞}$>@,eا҇K~wYٴƱ_NV<f <݉5  +' tܕӉ7 /˖}٧K/8nꢘAEU-$Jj9BAYf7:J(!qGF`ҙfQN/QZ LjpX)NKݧ ^gӤ=StL`:qJGRhq$]!ibRDJ?V*c/-HLJKL7;P O/5:Yǖ3&.eC=NWq=-7gshvϚЗdgl({]$x\."&Q|k7 Tb' Ø&0'N.$%p`d:Yǝe`mN\)L&{XELwa@P?iC=9LFpJ^8>& 5''pD4{;>mu`d. 8t=' x᝺<5隷PJ<=QEQR:ۃ(O DOQ ;~H'~LTEa2E6h?hSBcߙҍkAK`b,Ƣ ;< Jof9 Lr¸iȾ'bbǑA.Ρmތn49?!\]H `_0aQKhgd@OD@p`\R樏!|F L%gBWX`={02շyghS|+._.uڱ꤄U%K.mц_7irܙj}N-pilX&CYxalL҂y= O]n(-LI.C) 5< o"wsEKPF(3bqnV`+c݇[ejnԛ~ 7bpGa7QKSFb/{(q/$%~.[j7Ԡd͛d$PnKLN}BZ|ZQ\GɥY[v6MI/;^Rfs` ngQޛ75y wg[ՃBmٜ䌂Y/HNH_2ِ"7k-܀CgZ7LOqFBO?XjS)m|y5*_BhCB߉؅Df'owTՑX ej{324F8LF^*ۭ7grKX_`,IJu$-;B򢈱SǛST')3GfѷemσWEU:B dq'ĎU*ٝ&ٝV1׉&,0 ` 2w `1 Hm>E00P{q#L> 1t`0lf" [qaJoejA"Ahb s r&e]-(jx8xh`{<l_l6߻K2_I2`GʗlV:J BH&3XcuX?Xl+# j# {iVK\ t}{pM `$-ypl+tr|nCQ᪥|fڔ:g_}\(wJy#.]. %ŘHkĭݿh>/+/{-M'd\ "r jÔ<{AF&;}>yq9q2]ndř9۷7edd#)Ն`WjselSm3 7euy$ݚKCIFH)p|Л&ɘ@_} } 4xBJځ}^i|8RT$([DܦFZb5~ մ(pIr4 PM.X튽8hڭwr̩4|v%^ # endstream endobj 819 0 obj << /Filter /FlateDecode /Length 7218 /Type /EmbeddedFile >> stream h;rH|وQ GD#$5>c5_YwV!KC={!RPwڴYei>$j{V1ɂև8jS!|%s]kBr,/,j\Ʀbbnc[р[7i I$*Ewe _*j~̕՟&ebd[#܍U袦MQOÔ| NA0Kh~N2b~*5.xJ.d%Pƍ _oF.r&>_Ә#~}񙖽;q6) eVyACRRiSOh]DRdMŇVy lH4*hIɉ//3>T]dW4uqӨ*9igIVIPAká&7ΓŽ Ei0J 󴵍Yp4̴~L39U?1 4JC)*&SoW7YӬp,i9bB@'LEW}2sRρ8#)oR0?eH@h>idV AR10hO^J,|Ū=kKPsš'xB@s(\!G_=+$=S X>bk3#NwhB29Y?ÞM鈐R}]}оT+Ur/Fv+;PjikwIei47HT$و}鉬hjse `R$NhybC(\>l7126-2t3iնrϱyO'hGƥ EkSneaZA Z'i3/Q|O|-4,r<h0~"h={Eq 㣱 ,^ NBtؓQxR^O1I,0 v\im~5k)Yf4OKТKHbۏ&ub{چ+ww3.B{w6q$/'=yMфZIQ|I=Ȁ6dȞ%Eʾz>׺HY}9En c>e3/ޓ:[>`i/l[WDѢ@>V"lzhcNw~#܋bϑT{ҾX` '(yx{G͜ܯ2F9:G^tĬ4ֶjNgtoz@|׾}ȈH՝羾ިH"ATg>|'o+b-JWQV<19ƕ]4 uǕ?Qk=4 rEUG4rH$ǘ6N{!qUU+R7_U)R$H>)K\O#~S2G2lT3z5/#5WHU:Stkx|[BSYTxׁ` NFȤV)eB(^,@/.r~!yD_/}|矹#q="Q2s'թEh0cEc`Lj\ᡊsJ~kxXT#޵bE rI8 ~,mV'3ȅ(,XM BBӅPʾ^Շ] <}0b'ssL|OE}S}tGaP+Cw BMwshJfq>E~ M^̜{]Gπ3rnǹoB2ŁC]Y U8L9t]ڸwM̼s#㍽V|9E]q?1)>wnT{x;#6cx&6i`q欠YGCx-ϱ{L %_!ic]o;lGkپ!7Dkoöxvמllf=uڷn `29f%hi5: ]s7{(/Eۃ&kq⋸*W9s]έO߱;<53kȻ~|O=1or˙ /OaSq %MAüjOcL&X $eBkiF%yWi$z k 3 *h+{N*_a7l܄i ިpmGM% (\$(dx]ׂ\ʀBU8'x9 3d@ќj14Iy%h\kBJ*UFhwHkJ2w *, K:zV .~I*r++sEkObAgYWYQ4d⚗<282OT$"'/C2sp> 6*sƖ1j4ϡWNkrh#L%|*ݾcboQW/MƵ)qyLCHrܵ{H';=A25Q"J7k8Cpc6ؿqdnaO㳿q4?yyH:.sn?O;Ӛ8/QVծ'cb|m[Y|ͫMuꡬ6:KN[`d;۴oʀgcoᄍN響HP}fJr?[mLoۀ}gY_:x2%&%hk/Sk ş-60-žLmxE(=w2/f܎׬wϳ͖v`ղ}`[d˿][vg?r`a[4u; ~ͦ_œ~;Mu |1`.]㏮ kٚ b$YY?/{`g:(/>9o*8F+o$(ko q$5CG2zaJdS}0k^NXwSoA}$x"7,މSTGx6.6n%9i'Uتh=OR*cNk!(#eL-n5nXHZ ]{d2;!+]Gns;QH: e5o*73h"'dJ.mGso=OH&E7,ܟ*>*v_m7~}|u7U;TWch`u ,q~2k21ڃm]{ӫNpσ {DxwcsR?%4?#WU~|Կ ,rO]Nۯpx"7.2>{N La;iU'-SdFǯ-(2~ƹ=Az>z5>]Y S{ŕĩ1ű ҹ~y2&q0FT'C08ILtgvhgx% ૕(:¡xh~RKi^hE0\ blHVI:|eHFThe:7~"Of;'t3EC%S_~>q?TTj\btfpk:@ ض+3ΥncEԣ5E>!![ɔ-?̾YE9c*+z+,++yUt̯'>biGS#"WŦ{ tV!q̍F#b'w99:ïd}^Rl<ՕGՍ+1;yޛ&l Vrwޤ{a]`7[SUE0Y]|szGW3`^]^WY8>o|7jmx7oqzn~pW1ڿGes8N8AvV" ǕDe5''Nyߺx0;©<[-b?D77g$g5RE|^O07^-m٫ƉҴ\I"4F'r7lXvX ^9EwDH{['o:@kep& 9[;tda2p*%)BQu>o|{kr*O:<) ʧ6M&v /jro )u(M.77CFs|nHEHKX8/6|C24>;L>NW9L%SA#ʝ:sq7cyN)s@.Dԡ9}d8w϶ܳug7 m|wE͇vq&tMŇ?nl ò}ΆnV颻ٿvq& `άku mfsUE;pXL?+#^qN^~(l)*6M0%~wuתEg]׽UsYEn'l׻a8_p@BK©1ۡxx`?Z6ޱ ]FnمZ! SWNQ/DW+´^7P#[GwR4O rjeYWU endstream endobj 820 0 obj << /Kids [821 0 R 822 0 R 823 0 R 824 0 R 825 0 R 826 0 R 827 0 R 828 0 R 829 0 R 830 0 R 831 0 R 832 0 R] >> endobj 821 0 obj << /Limits [(F1) (G3.451231)] /Names [(F1) 1351 0 R (F2) 833 0 R (F3) 852 0 R (F4) 1352 0 R (F5) 1353 0 R (F6) 1354 0 R (F7) 1355 0 R (F8) 1356 0 R (G1.1014901) 1357 0 R (G1.1015796) 1358 0 R (G1.1018887) 1359 0 R (G1.1018941) 1360 0 R (G1.1020421) 1361 0 R (G1.1020467) 1362 0 R (G2.463263) 1363 0 R (G2.531921) 1364 0 R (G2.531995) 1365 0 R (G3.338253) 1366 0 R (G3.443226) 1367 0 R (G3.443274) 1368 0 R (G3.443504) 1369 0 R (G3.443925) 1370 0 R (G3.443999) 1371 0 R (G3.444008) 1372 0 R (G3.444014) 1373 0 R (G3.444019) 1374 0 R (G3.444020) 1375 0 R (G3.444022) 1376 0 R (G3.444024) 1377 0 R (G3.444025) 1378 0 R (G3.444028) 1379 0 R (G3.444042) 1380 0 R (G3.444048) 1381 0 R (G3.444067) 1382 0 R (G3.444068) 1383 0 R (G3.444071) 1384 0 R (G3.444084) 1385 0 R (G3.444088) 1386 0 R (G3.444092) 1387 0 R (G3.444094) 1388 0 R (G3.444126) 1389 0 R (G3.444135) 1390 0 R (G3.444149) 1391 0 R (G3.444204) 1392 0 R (G3.444205) 1393 0 R (G3.444224) 1394 0 R (G3.444238) 1395 0 R (G3.444339) 1396 0 R (G3.444374) 1397 0 R (G3.444748) 1398 0 R (G3.444749) 1399 0 R (G3.445802) 1400 0 R (G3.445884) 1401 0 R (G3.446033) 1402 0 R (G3.446074) 1403 0 R (G3.446181) 1404 0 R (G3.446360) 1405 0 R (G3.446454) 1406 0 R (G3.446456) 1407 0 R (G3.446513) 1408 0 R (G3.449560) 1409 0 R (G3.449813) 1410 0 R (G3.451076) 1411 0 R (G3.451231) 1412 0 R] >> endobj 822 0 obj << /Limits [(G3.451451) (G3.473474)] /Names [(G3.451451) 1287 0 R (G3.451502) 1288 0 R (G3.451825) 1289 0 R (G3.452007) 1290 0 R (G3.452023) 1291 0 R (G3.452027) 1292 0 R (G3.452029) 1293 0 R (G3.452033) 1294 0 R (G3.452035) 1295 0 R (G3.452039) 1296 0 R (G3.452041) 1297 0 R (G3.452749) 1298 0 R (G3.452769) 1299 0 R (G3.452775) 1300 0 R (G3.452781) 1301 0 R (G3.453379) 1302 0 R (G3.453584) 1303 0 R (G3.454439) 1304 0 R (G3.454634) 1305 0 R (G3.456971) 1306 0 R (G3.457876) 1307 0 R (G3.457937) 1308 0 R (G3.459047) 1309 0 R (G3.459899) 1310 0 R (G3.459903) 1311 0 R (G3.463692) 1312 0 R (G3.463994) 1313 0 R (G3.465315) 1314 0 R (G3.466777) 1315 0 R (G3.467450) 1316 0 R (G3.468640) 1317 0 R (G3.469579) 1318 0 R (G3.470117) 1319 0 R (G3.473143) 1320 0 R (G3.473149) 1321 0 R (G3.473157) 1322 0 R (G3.473173) 1323 0 R (G3.473183) 1324 0 R (G3.473213) 1325 0 R (G3.473223) 1326 0 R (G3.473233) 1327 0 R (G3.473243) 1328 0 R (G3.473253) 1329 0 R (G3.473263) 1330 0 R (G3.473273) 1331 0 R (G3.473283) 1332 0 R (G3.473299) 1333 0 R (G3.473309) 1334 0 R (G3.473319) 1335 0 R (G3.473329) 1336 0 R (G3.473339) 1337 0 R (G3.473349) 1338 0 R (G3.473359) 1339 0 R (G3.473369) 1340 0 R (G3.473384) 1341 0 R (G3.473394) 1342 0 R (G3.473404) 1343 0 R (G3.473414) 1344 0 R (G3.473424) 1345 0 R (G3.473434) 1346 0 R (G3.473444) 1347 0 R (G3.473454) 1348 0 R (G3.473464) 1349 0 R (G3.473474) 1350 0 R] >> endobj 823 0 obj << /Limits [(G3.473484) (G3.479932)] /Names [(G3.473484) 1223 0 R (G3.473494) 1224 0 R (G3.473503) 1225 0 R (G3.474932) 1226 0 R (G3.474934) 1227 0 R (G3.475116) 1228 0 R (G3.475522) 1229 0 R (G3.477965) 1230 0 R (G3.478454) 1231 0 R (G3.478486) 1232 0 R (G3.478558) 1233 0 R (G3.478568) 1234 0 R (G3.478574) 1235 0 R (G3.478576) 1236 0 R (G3.478580) 1237 0 R (G3.478582) 1238 0 R (G3.478586) 1239 0 R (G3.478588) 1240 0 R (G3.479379) 1241 0 R (G3.479405) 1242 0 R (G3.479407) 1243 0 R (G3.479411) 1244 0 R (G3.479413) 1245 0 R (G3.479417) 1246 0 R (G3.479419) 1247 0 R (G3.479423) 1248 0 R (G3.479429) 1249 0 R (G3.479435) 1250 0 R (G3.479453) 1251 0 R (G3.479455) 1252 0 R (G3.479459) 1253 0 R (G3.479461) 1254 0 R (G3.479465) 1255 0 R (G3.479467) 1256 0 R (G3.479471) 1257 0 R (G3.479473) 1258 0 R (G3.479477) 1259 0 R (G3.479479) 1260 0 R (G3.479485) 1261 0 R (G3.479491) 1262 0 R (G3.479497) 1263 0 R (G3.479503) 1264 0 R (G3.479509) 1265 0 R (G3.479551) 1266 0 R (G3.479557) 1267 0 R (G3.479563) 1268 0 R (G3.479569) 1269 0 R (G3.479575) 1270 0 R (G3.479581) 1271 0 R (G3.479587) 1272 0 R (G3.479593) 1273 0 R (G3.479596) 1274 0 R (G3.479704) 1275 0 R (G3.479752) 1276 0 R (G3.479754) 1277 0 R (G3.479762) 1278 0 R (G3.479772) 1279 0 R (G3.479832) 1280 0 R (G3.479882) 1281 0 R (G3.479892) 1282 0 R (G3.479902) 1283 0 R (G3.479912) 1284 0 R (G3.479922) 1285 0 R (G3.479932) 1286 0 R] >> endobj 824 0 obj << /Limits [(G3.480812) (G7.228151)] /Names [(G3.480812) 1160 0 R (G3.480947) 1161 0 R (G3.480949) 1162 0 R (G3.480951) 1163 0 R (G3.480953) 1164 0 R (G3.481163) 1165 0 R (G3.481165) 1166 0 R (G3.481167) 1167 0 R (G3.481169) 1168 0 R (G3.481220) 1169 0 R (G3.481369) 1170 0 R (G3.481371) 1171 0 R (G3.481468) 1172 0 R (G3.481470) 1173 0 R (G3.482153) 1174 0 R (G3.482197) 1175 0 R (G3.482211) 1176 0 R (G3.482225) 1177 0 R (G3.482541) 1178 0 R (G3.482555) 1179 0 R (G3.482579) 1180 0 R (G3.482585) 1181 0 R (G3.482888) 1182 0 R (G3.482895) 1182 0 R (G3.482957) 1183 0 R (G3.482961) 1184 0 R (G3.482963) 1185 0 R (G3.482971) 1186 0 R (G3.482973) 1187 0 R (G3.482977) 1188 0 R (G3.482979) 1189 0 R (G3.482987) 1190 0 R (G3.482989) 1191 0 R (G3.482993) 1192 0 R (G3.482995) 1193 0 R (G3.483003) 1194 0 R (G3.483005) 1195 0 R (G3.486351) 1196 0 R (G3.486411) 1197 0 R (G3.486421) 1198 0 R (G3.486429) 1199 0 R (G3.486439) 1200 0 R (G3.486447) 1201 0 R (G3.486457) 1202 0 R (G3.486465) 1203 0 R (G3.486475) 1204 0 R (G4.228151) 1205 0 R (G4.286484) 1206 0 R (G4.286995) 1207 0 R (G4.288954) 1208 0 R (G4.289040) 1209 0 R (G5.228151) 1210 0 R (G5.294410) 1211 0 R (G5.294458) 1212 0 R (G5.294496) 1213 0 R (G5.296786) 1214 0 R (G5.299711) 1215 0 R (G5.299968) 1216 0 R (G5.300022) 1217 0 R (G6.281783) 1218 0 R (G6.285364) 1219 0 R (G6.285450) 1220 0 R (G6.285601) 1221 0 R (G7.228151) 1222 0 R] >> endobj 825 0 obj << /Limits [(G7.287712) (I2.1.560958)] /Names [(G7.287712) 1100 0 R (G7.287798) 1101 0 R (G7.288519) 1102 0 R (G7.288520) 1103 0 R (G7.288523) 1104 0 R (G7.288529) 1105 0 R (G7.288532) 1106 0 R (G7.288885) 1107 0 R (G7.288887) 1108 0 R (G7.288889) 1109 0 R (G7.288891) 1110 0 R (G7.288893) 1111 0 R (G7.288899) 1112 0 R (G7.288901) 1113 0 R (G7.288905) 1114 0 R (G7.288975) 1115 0 R (G7.290401) 1116 0 R (G7.290437) 1117 0 R (G7.290530) 1118 0 R (G7.290699) 1119 0 R (G7.290707) 1120 0 R (G7.290721) 1121 0 R (G7.290723) 1122 0 R (G8.256953) 1123 0 R (G8.257031) 1124 0 R (G8.279219) 1125 0 R (G8.279224) 1126 0 R (G8.281287) 1127 0 R (G8.282179) 1128 0 R (G8.282598) 1129 0 R (G8.286568) 1130 0 R (G8.286851) 1131 0 R (G8.287305) 1132 0 R (I1.1.1014900) 958 0 R (I1.1.1018879) 1133 0 R (I1.1.1020420) 959 0 R (I1.1.1020422) 1134 0 R (I1.1.1020423) 1135 0 R (I1.1.1021327) 1136 0 R (I1.1.1021355) 1137 0 R (I2.1.548354) 1138 0 R (I2.1.548380) 1139 0 R (I2.1.550001) 1140 0 R (I2.1.550077) 1141 0 R (I2.1.560853) 1140 0 R (I2.1.560907) 1141 0 R (I2.1.560924) 1142 0 R (I2.1.560926) 1143 0 R (I2.1.560928) 1144 0 R (I2.1.560930) 1145 0 R (I2.1.560932) 1146 0 R (I2.1.560934) 1147 0 R (I2.1.560936) 1148 0 R (I2.1.560938) 1149 0 R (I2.1.560940) 1150 0 R (I2.1.560942) 1151 0 R (I2.1.560944) 1152 0 R (I2.1.560946) 1153 0 R (I2.1.560948) 1154 0 R (I2.1.560950) 1155 0 R (I2.1.560952) 1156 0 R (I2.1.560954) 1157 0 R (I2.1.560956) 1158 0 R (I2.1.560958) 1159 0 R] >> endobj 826 0 obj << /Limits [(I2.1.560960) (I3.1.463691)] /Names [(I2.1.560960) 1050 0 R (I2.1.560962) 1051 0 R (I2.1.560964) 1052 0 R (I2.1.560966) 1053 0 R (I2.1.560968) 1054 0 R (I2.1.560970) 1055 0 R (I2.1.560972) 1056 0 R (I2.1.560974) 1057 0 R (I2.1.560976) 1058 0 R (I2.1.560978) 1059 0 R (I2.1.560980) 1060 0 R (I2.1.560982) 1061 0 R (I2.1.560984) 1062 0 R (I2.1.560986) 1063 0 R (I2.1.560988) 1064 0 R (I2.1.560990) 1065 0 R (I2.1.560992) 1066 0 R (I2.1.560994) 1067 0 R (I2.1.560996) 1068 0 R (I2.1.560998) 1069 0 R (I2.1.561000) 1070 0 R (I2.1.561002) 1071 0 R (I2.1.561004) 1072 0 R (I2.1.561006) 1073 0 R (I2.1.561008) 1074 0 R (I2.1.561010) 1075 0 R (I2.1.561012) 1076 0 R (I2.1.561014) 1077 0 R (I2.1.561016) 1078 0 R (I2.1.561018) 1079 0 R (I3.1.338327) 864 0 R (I3.1.443205) 1080 0 R (I3.1.443253) 1081 0 R (I3.1.443847) 1082 0 R (I3.1.445814) 972 0 R (I3.1.445896) 967 0 R (I3.1.445951) 1083 0 R (I3.1.446112) 1084 0 R (I3.1.446254) 1085 0 R (I3.1.446442) 1086 0 R (I3.1.446488) 869 0 R (I3.1.446535) 1087 0 R (I3.1.449556) 1088 0 R (I3.1.449812) 1089 0 R (I3.1.449831) 966 0 R (I3.1.450171) 1090 0 R (I3.1.451179) 860 0 R (I3.1.451318) 974 0 R (I3.1.451403) 919 0 R (I3.1.451821) 963 0 R (I3.1.452187) 926 0 R (I3.1.453513) 912 0 R (I3.1.454281) 960 0 R (I3.1.454624) 1091 0 R (I3.1.457875) 1092 0 R (I3.1.460320) 1093 0 R (I3.1.460590) 1094 0 R (I3.1.460707) 1095 0 R (I3.1.460725) 1096 0 R (I3.1.460729) 1097 0 R (I3.1.460736) 868 0 R (I3.1.460816) 1098 0 R (I3.1.463366) 964 0 R (I3.1.463691) 1099 0 R] >> endobj 827 0 obj << /Limits [(I3.1.464089) (I3.1.473463)] /Names [(I3.1.464089) 910 0 R (I3.1.465311) 920 0 R (I3.1.466773) 961 0 R (I3.1.469084) 859 0 R (I3.1.469096) 1018 0 R (I3.1.469290) 1019 0 R (I3.1.469575) 971 0 R (I3.1.469950) 984 0 R (I3.1.470005) 985 0 R (I3.1.470905) 1020 0 R (I3.1.471459) 1021 0 R (I3.1.471545) 1022 0 R (I3.1.471562) 1023 0 R (I3.1.471590) 862 0 R (I3.1.471594) 914 0 R (I3.1.471598) 1024 0 R (I3.1.471602) 930 0 R (I3.1.471608) 924 0 R (I3.1.471621) 968 0 R (I3.1.471625) 1025 0 R (I3.1.471629) 916 0 R (I3.1.471637) 867 0 R (I3.1.471661) 866 0 R (I3.1.471680) 1026 0 R (I3.1.471684) 1027 0 R (I3.1.471695) 962 0 R (I3.1.471717) 865 0 R (I3.1.471723) 1028 0 R (I3.1.471814) 913 0 R (I3.1.471818) 1029 0 R (I3.1.472040) 928 0 R (I3.1.472077) 908 0 R (I3.1.472129) 922 0 R (I3.1.473139) 1030 0 R (I3.1.473145) 1031 0 R (I3.1.473153) 909 0 R (I3.1.473172) 932 0 R (I3.1.473182) 929 0 R (I3.1.473192) 970 0 R (I3.1.473202) 925 0 R (I3.1.473212) 1032 0 R (I3.1.473222) 1033 0 R (I3.1.473232) 1034 0 R (I3.1.473242) 1035 0 R (I3.1.473252) 1036 0 R (I3.1.473262) 1037 0 R (I3.1.473272) 1038 0 R (I3.1.473282) 1039 0 R (I3.1.473298) 1040 0 R (I3.1.473308) 1041 0 R (I3.1.473318) 1042 0 R (I3.1.473328) 927 0 R (I3.1.473338) 1043 0 R (I3.1.473348) 1044 0 R (I3.1.473358) 1045 0 R (I3.1.473368) 1046 0 R (I3.1.473393) 969 0 R (I3.1.473403) 1047 0 R (I3.1.473413) 965 0 R (I3.1.473423) 931 0 R (I3.1.473433) 1048 0 R (I3.1.473443) 861 0 R (I3.1.473453) 1049 0 R (I3.1.473463) 915 0 R] >> endobj 828 0 obj << /Limits [(I3.1.473473) (I7.1.290288)] /Names [(I3.1.473473) 907 0 R (I3.1.473483) 975 0 R (I3.1.473493) 921 0 R (I3.1.473523) 911 0 R (I3.1.474931) 863 0 R (I3.1.474933) 976 0 R (I3.1.475106) 977 0 R (I3.1.479375) 978 0 R (I3.1.479595) 917 0 R (I3.1.482149) 918 0 R (I3.1.483351) 979 0 R (I3.1.483378) 980 0 R (I3.1.483408) 981 0 R (I3.1.483410) 982 0 R (I3.1.483530) 973 0 R (I3.1.483531) 983 0 R (I3.1.486347) 923 0 R (I3.1.486873) 984 0 R (I3.1.486900) 985 0 R (I4.1.270272) 986 0 R (I4.1.286525) 871 0 R (I4.1.286991) 870 0 R (I4.1.288933) 987 0 R (I4.1.289025) 988 0 R (I4.1.289072) 989 0 R (I4.1.289110) 990 0 R (I4.1.290154) 989 0 R (I4.1.290197) 990 0 R (I5.1.270272) 872 0 R (I5.1.294389) 991 0 R (I5.1.294437) 992 0 R (I5.1.294481) 993 0 R (I5.1.294520) 994 0 R (I5.1.294558) 995 0 R (I5.1.294596) 997 0 R (I5.1.299710) 996 0 R (I5.1.301132) 994 0 R (I5.1.301175) 995 0 R (I5.1.301202) 997 0 R (I6.1.281809) 998 0 R (I6.1.285343) 999 0 R (I6.1.285435) 1000 0 R (I6.1.285476) 1001 0 R (I6.1.285514) 1004 0 R (I6.1.285587) 873 0 R (I6.1.285598) 1002 0 R (I6.1.285600) 1003 0 R (I6.1.286357) 1001 0 R (I6.1.286400) 1004 0 R (I7.1.287691) 1005 0 R (I7.1.287783) 1006 0 R (I7.1.287824) 1007 0 R (I7.1.287862) 1008 0 R (I7.1.287946) 874 0 R (I7.1.288521) 1009 0 R (I7.1.288524) 1010 0 R (I7.1.289545) 1011 0 R (I7.1.290114) 1012 0 R (I7.1.290116) 1013 0 R (I7.1.290119) 875 0 R (I7.1.290240) 1014 0 R (I7.1.290256) 1015 0 R (I7.1.290272) 1016 0 R (I7.1.290288) 1017 0 R] >> endobj 829 0 obj << /Limits [(I7.1.290304) (M3.9.39062.TableTitle.Table.14.Fan.Power.Connections)] /Names [(I7.1.290304) 933 0 R (I7.1.290320) 934 0 R (I7.1.290337) 935 0 R (I7.1.290369) 936 0 R (I7.1.290433) 937 0 R (I7.1.290438) 937 0 R (I7.1.290529) 938 0 R (I7.1.290696) 939 0 R (I7.1.290701) 940 0 R (I7.1.290702) 941 0 R (I7.1.290706) 942 0 R (I7.1.290718) 943 0 R (I7.1.290720) 944 0 R (I7.1.290722) 945 0 R (I7.1.290901) 876 0 R (I7.1.291096) 946 0 R (I7.1.291122) 1007 0 R (I7.1.291165) 1008 0 R (I8.1.276125) 947 0 R (I8.1.276151) 948 0 R (I8.1.278326) 952 0 R (I8.1.278408) 953 0 R (I8.1.278949) 949 0 R (I8.1.278950) 950 0 R (I8.1.287332) 951 0 R (I8.1.287614) 952 0 R (I8.1.287668) 953 0 R (L1) 954 0 R (L2) 841 0 R (L3) 836 0 R (L4) 955 0 R (L5) 956 0 R (L6) 853 0 R (L7) 957 0 R (L8) 854 0 R (M1.9.13546.Heading1.Revision.History) 958 0 R (M1.9.35106.XDisclaimer.The.information.disclosed.to.you.hereunder.the.Materials.is.provided.solely.f) 959 0 R (M3.9.10506.TableTitle.Table.113.GTX.Transceiver.Pins) 960 0 R (M3.9.10721.XAnchorFigure.XRef.Target..Figure.15) 961 0 R (M3.9.14977.Heading2.FPGA.Mezzanine.Card.HPC.Interface) 962 0 R (M3.9.15872.TableTitle.Table.17.LVDS.Oscillator.MRCC.Connections) 963 0 R (M3.9.17558.Heading3.Disabling.Onboard.Power) 964 0 R (M3.9.18384.XAnchorFigure.XRef.Target..Figure.16) 1090 0 R (M3.9.19324.CellBodyCalllout.24) 965 0 R (M3.9.19381.TableTitle.Table.118.VITA.571.FMC1.HPC.Connections.at.JA2) 1096 0 R (M3.9.19444.Heading3.Using.External.Power.Sources) 966 0 R (M3.9.20715.XAnchorFigure.XRef.Target..Figure.13) 967 0 R (M3.9.23047.Heading2.200.MHz.25V.LVDS.Oscillator) 968 0 R (M3.9.23375.CellBodyCalllout.26) 1048 0 R (M3.9.24092.CellBodyCalllout.22) 969 0 R (M3.9.24738.CellBodyCalllout.3) 970 0 R (M3.9.25151.TableTitle.Table.121.I2C.Channel.Assignments.Contd) 1098 0 R (M3.9.26659.XAnchorFigure.XRef.Target..Figure.18) 971 0 R (M3.9.26862.CellBodyCalllout.28) 1049 0 R (M3.9.28453.Heading2.USBtoUART.Bridge) 1027 0 R (M3.9.29908.CellBodyCalllout.31) 975 0 R (M3.9.31099.XAnchorFigure.XRef.Target..Figure.11) 972 0 R (M3.9.32070.TableTitle.Table.127.Onboard.Power.System.Devices) 1088 0 R (M3.9.32096.TableTitle.Table.19.User.LEDs) 973 0 R (M3.9.32527.CellBodyCalllout.17) 1043 0 R (M3.9.33479.TableTitle.Table.112.User.Push.Buttons) 1094 0 R (M3.9.33553.TableTitle.Table.114.GTX.Transceiver.Clock.Inputs.to.the.FPGA) 1091 0 R (M3.9.36354.CellBodyCalllout.8) 1035 0 R (M3.9.39062.TableTitle.Table.14.Fan.Power.Connections) 974 0 R] >> endobj 830 0 obj << /Limits [(M3.9.39834.Heading2.Differential.SMA.MRCC.Pin.Inputs) (M3.9.87272.CellBodyCalllout.1)] /Names [(M3.9.39834.Heading2.Differential.SMA.MRCC.Pin.Inputs) 1025 0 R (M3.9.39841.Heading2.I2C.Bus.Management) 1028 0 R (M3.9.39908.CellBodyCalllout.19) 1045 0 R (M3.9.41594.XAnchorFigure.XRef.Target..Figure.17) 1084 0 R (M3.9.42853.CellBodyCalllout.30) 907 0 R (M3.9.43193.CellBodyCalllout.7) 1034 0 R (M3.9.44263.XAnchorFigure.XRef.Target..Figure.112) 1086 0 R (M3.9.45199.Body.xxxxEach.GTX.Quad.and.its.associated.reference.clocks.) 1026 0 R (M3.9.45303.Body.The.KC724.board.power.is.turned.on.or.off.by.switch.SW1) 977 0 R (M3.9.45331.Heading3.FPGA.Configuration) 908 0 R (M3.9.46617.Heading2.Kintex7.FPGA) 1023 0 R (M3.9.47063.TableTitle.Table.11.KC724.Board.Feature.Descriptions.Contd) 909 0 R (M3.9.47870.CellBodyCalllout.11) 1038 0 R (M3.9.48511.CellBodyCalllout.23) 1047 0 R (M3.9.50186.XAnchorFigure.XRef.Target..Figure.111) 910 0 R (M3.9.50832.TableTitle.Table.115.USB.MiniB.Receptacle.Pin.Assignments.and.Signals) 1095 0 R (M3.9.51244.Body.The.KC724.board.is.powered.through.J2.callout.2.Figure12.us) 1020 0 R (M3.9.51375.XAnchorFigure.XRef.Target..Figure.12) 1030 0 R (M3.9.54452.Heading3.Active.Heatsink.Power.Connector) 1022 0 R (M3.9.55103.CellBodyCalllout.21) 911 0 R (M3.9.55637.Bulleted..EuroMag.terminal.block.J5.which.can.be.used.to.co) 976 0 R (M3.9.55802.FigureTitle.Figure.113.GTX.Quad.Locations) 912 0 R (M3.9.55925.Body.The.GTX.transceiver.power.rails.also.have.corresponding) 913 0 R (M3.9.57064.Heading3.DONE.LED) 914 0 R (M3.9.57347.CellBodyCalllout.20) 1046 0 R (M3.9.58795.XAnchorFigure.XRef.Target..Figure.114) 1087 0 R (M3.9.59169.CellBodyCalllout.29) 915 0 R (M3.9.59250.Heading2.SuperClock2.Module) 916 0 R (M3.9.59537.Heading3.7.Series.GTX.Transceiver.Power.Module) 1021 0 R (M3.9.60203.CellBodyCalllout.18) 1044 0 R (M3.9.60419.TableTitle.Table.19.SuperClock2.FPGA.IO.Mapping) 978 0 R (M3.9.60668.Heading2.User.LEDs.Active.High) 917 0 R (M3.9.62275.TableTitle.Table.111.User.DIP.Switches) 918 0 R (M3.9.62599.CellBodyCalllout.10) 1037 0 R (M3.9.63260.Heading4.System.ACE2.Configuration.Address.DIP.Switches) 919 0 R (M3.9.64260.Heading3.INIT.LED) 1024 0 R (M3.9.66279.Bulleted..USB.JTAG.configuration.port.Digilent.module) 1019 0 R (M3.9.66446.Body.The.DIP.switch.SW2.provides.a.set.of.eight.activeHigh) 1029 0 R (M3.9.66501.TableTitle.Table.120.Power.Supply.Voltages.for.the.HPC.Connector) 920 0 R (M3.9.71188.CellBodyCalllout.32) 921 0 R (M3.9.71215.CellBodyCalllout.9) 1036 0 R (M3.9.72155.Heading2.GTX.Transceivers.and.Reference.Clocks) 922 0 R (M3.9.73325.XAnchorFigure.XRef.Target..Figure.14) 1083 0 R (M3.9.74736.Note.Note.All.onboard.power.can.be.disabled.by.placing.a.jum) 1018 0 R (M3.9.76644.TableTitle.Table.116.CP2103.USBtoUART.Bridge.User.GPIO) 983 0 R (M3.9.77069.TableTitle.Table.116.FPGA.to.UART.Connections) 923 0 R (M3.9.77532.CellBodyCalllout.14) 1041 0 R (M3.9.77898.Heading3.System.ACE.SD.Controller.Reset) 924 0 R (M3.9.78081.CellBodyCalllout.4) 925 0 R (M3.9.78512.XAnchorFigure.XRef.Target..Figure.19) 1085 0 R (M3.9.80058.TableNoteNumbered.1.a) 1089 0 R (M3.9.80071.CellBodyCalllout.12) 1039 0 R (M3.9.80694.TableTitle.Table.18.Differential.SMA.Clock.Connections) 926 0 R (M3.9.81751.FigureTitle.Figure.12.KC724.Board.Features) 1031 0 R (M3.9.81867.CellBodyCalllout.6) 1033 0 R (M3.9.82137.CellBodyCalllout.16) 927 0 R (M3.9.82463.Heading3.Board.Power.and.Switch) 928 0 R (M3.9.82777.CellBodyCalllout.2) 929 0 R (M3.9.84626.CellBodyCalllout.5) 1032 0 R (M3.9.86009.CellBodyCalllout.13) 1040 0 R (M3.9.86213.CellBodyCalllout.15) 1042 0 R (M3.9.86355.Heading2.System.ACE.SD.Controller) 930 0 R (M3.9.86437.CellBodyCalllout.25) 931 0 R (M3.9.87272.CellBodyCalllout.1) 932 0 R] >> endobj 831 0 obj << /Limits [(M3.9.87597.Heading3.Monitoring.Voltage.and.Current) (P.39C3)] /Names [(M3.9.87597.Heading3.Monitoring.Voltage.and.Current) 859 0 R (M3.9.89914.TableTitle.Table.13.7.Series.GTX.Transceiver.Power.Module) 860 0 R (M3.9.92546.CellBodyCalllout.27) 861 0 R (M3.9.92548.Heading3.PROGB.Push.Button) 862 0 R (M3.9.93130.FigureTitle.Figure.110.JTAG.Chain) 1099 0 R (M3.9.93879.Bulleted..Connector.J131.which.accepts.an.ATX.hard.disk.4pi) 863 0 R (M3.9.96261.TableTitle.Table.15.SW8.DIP.Switch.Configuration) 1093 0 R (M3.9.96523.SingleChapTitle.Guide.Title.Common.UG.Template.Set) 864 0 R (M3.9.96715.TableTitle.Table.119.VITA.571.FMC2.HPC.Connections.at.JA2) 1097 0 R (M3.9.97004.Heading2.XADC) 865 0 R (M3.9.98010.Heading2.User.Push.Buttons.Active.High) 866 0 R (M3.9.98661.Heading2.User.DIP.Switches.Active.High) 867 0 R (M3.9.99079.TableNoteNumbered.1.xxxThis.signal.is.not.directly.connected.to.the.FP) 868 0 R (M3.9.99822.XAnchorFigure.XRef.Target..Figure.113) 869 0 R (M3.9.99857.TableNoteNumbered.1.This.signal.is.not.directly.connected.to.the.FPGA) 1092 0 R (M4.9.41793.AppendixTitle.AppendixTitle) 986 0 R (M4.9.61322.TableTitle.Table.B1.Default.Jumper.Settings) 870 0 R (M4.9.76649.FigureTitle.Figure.B1.Default.Switch.Settings) 871 0 R (M5.9.41793.AppendixTitle.AppendixTitle) 872 0 R (M6.9.22507.XAnchorFigure.XRef.Target..Figure.C1) 873 0 R (M6.9.31764.AppendixNum.AppendixC) 1002 0 R (M6.9.38785.FigureTitle.Figure.C2.FMC.HPC.Connector.Pinout) 998 0 R (M6.9.41793.AppendixTitle.AppendixTitle) 1003 0 R (M7.9.28166.Reference.1.More.information.about.the.power.system.components) 937 0 R (M7.9.60439.AppendixNum.AppendixA) 874 0 R (M7.9.64035.ReferenceCont.2.AMS101.Evaluation.Card.User.Guide.UG886) 875 0 R (M7.9.71981.Reference.1.7.Series.FPGAs.Overview.DS180) 876 0 R (M7.9.83068.ReferenceCont.2.7.Series.FPGAs.and.Zynq7000.All.Programmable.SoC.XADC.Dua) 939 0 R (M7.9.83826.ReferenceCont.3.Vivado.Design.Suite.User.Guide.Using.Contstraints.UG903) 941 0 R (M7.9.91703.Heading1.References) 946 0 R (M8.9.26473.AppendixNum.AppendixB) 949 0 R (M8.9.88578.AppendixTitle.Jumper.Settings) 950 0 R (P.10C3) 877 0 R (P.11C3) 878 0 R (P.12C3) 879 0 R (P.13C3) 880 0 R (P.14C3) 881 0 R (P.15C3) 882 0 R (P.16C3) 883 0 R (P.17C3) 884 0 R (P.18C3) 885 0 R (P.19C3) 886 0 R (P.1C1) 1351 0 R (P.20C3) 887 0 R (P.21C3) 888 0 R (P.22C3) 889 0 R (P.23C3) 890 0 R (P.24C3) 891 0 R (P.25C3) 892 0 R (P.26C3) 893 0 R (P.27C3) 894 0 R (P.28C3) 895 0 R (P.29C3) 896 0 R (P.2C1) 954 0 R (P.30C3) 897 0 R (P.31C3) 898 0 R (P.32C3) 899 0 R (P.33C3) 900 0 R (P.34C3) 901 0 R (P.35C3) 902 0 R (P.36C3) 903 0 R (P.37C3) 904 0 R (P.38C3) 905 0 R (P.39C3) 906 0 R] >> endobj 832 0 obj << /Limits [(P.3C2) (P.9C3)] /Names [(P.3C2) 833 0 R (P.40C3) 834 0 R (P.41C3) 835 0 R (P.42C3) 836 0 R (P.43C4) 1352 0 R (P.44C4) 955 0 R (P.45C5) 1353 0 R (P.46C5) 837 0 R (P.47C5) 838 0 R (P.48C5) 839 0 R (P.49C5) 840 0 R (P.4C2) 841 0 R (P.50C5) 842 0 R (P.51C5) 843 0 R (P.52C5) 844 0 R (P.53C5) 845 0 R (P.54C5) 846 0 R (P.55C5) 847 0 R (P.56C5) 848 0 R (P.57C5) 849 0 R (P.58C5) 850 0 R (P.59C5) 851 0 R (P.5C3) 852 0 R (P.60C5) 956 0 R (P.61C6) 1354 0 R (P.62C6) 853 0 R (P.63C7) 1355 0 R (P.64C7) 957 0 R (P.65C8) 1356 0 R (P.66C8) 854 0 R (P.6C3) 855 0 R (P.7C3) 856 0 R (P.8C3) 857 0 R (P.9C3) 858 0 R] >> endobj 833 0 obj << /D [22 0 R /XYZ null null null] >> endobj 834 0 obj << /D [525 0 R /XYZ null null null] >> endobj 835 0 obj << /D [537 0 R /XYZ null null null] >> endobj 836 0 obj << /D [547 0 R /XYZ null null null] >> endobj 837 0 obj << /D [587 0 R /XYZ null null null] >> endobj 838 0 obj << /D [594 0 R /XYZ null null null] >> endobj 839 0 obj << /D [601 0 R /XYZ null null null] >> endobj 840 0 obj << /D [608 0 R /XYZ null null null] >> endobj 841 0 obj << /D [68 0 R /XYZ null null null] >> endobj 842 0 obj << /D [615 0 R /XYZ null null null] >> endobj 843 0 obj << /D [622 0 R /XYZ null null null] >> endobj 844 0 obj << /D [629 0 R /XYZ null null null] >> endobj 845 0 obj << /D [636 0 R /XYZ null null null] >> endobj 846 0 obj << /D [643 0 R /XYZ null null null] >> endobj 847 0 obj << /D [650 0 R /XYZ null null null] >> endobj 848 0 obj << /D [657 0 R /XYZ null null null] >> endobj 849 0 obj << /D [664 0 R /XYZ null null null] >> endobj 850 0 obj << /D [671 0 R /XYZ null null null] >> endobj 851 0 obj << /D [678 0 R /XYZ null null null] >> endobj 852 0 obj << /D [84 0 R /XYZ null null null] >> endobj 853 0 obj << /D [705 0 R /XYZ null null null] >> endobj 854 0 obj << /D [754 0 R /XYZ null null null] >> endobj 855 0 obj << /D [92 0 R /XYZ null null null] >> endobj 856 0 obj << /D [102 0 R /XYZ null null null] >> endobj 857 0 obj << /D [113 0 R /XYZ null null null] >> endobj 858 0 obj << /D [151 0 R /XYZ null null null] >> endobj 859 0 obj << /D [211 0 R /XYZ 136 370 null] >> endobj 860 0 obj << /D [229 0 R /XYZ 215 405 null] >> endobj 861 0 obj << /D [113 0 R /XYZ 184 181 null] >> endobj 862 0 obj << /D [291 0 R /XYZ 136 232 null] >> endobj 863 0 obj << /D [151 0 R /XYZ 180 439 null] >> endobj 864 0 obj << /D [84 0 R /XYZ 54 674 null] >> endobj 865 0 obj << /D [525 0 R /XYZ 102 462 null] >> endobj 866 0 obj << /D [362 0 R /XYZ 102 582 null] >> endobj 867 0 obj << /D [347 0 R /XYZ 102 436 null] >> endobj 868 0 obj << /D [525 0 R /XYZ 175 658 null] >> endobj 869 0 obj << /D [379 0 R /XYZ 77 722 null] >> endobj 870 0 obj << /D [554 0 R /XYZ 108 515 null] >> endobj 871 0 obj << /D [554 0 R /XYZ 334 147 null] >> endobj 872 0 obj << /D [578 0 R /XYZ 54 665 null] >> endobj 873 0 obj << /D [692 0 R /XYZ 76 546 null] >> endobj 874 0 obj << /D [712 0 R /XYZ 558 735 null] >> endobj 875 0 obj << /D [712 0 R /XYZ 480 316 null] >> endobj 876 0 obj << /D [712 0 R /XYZ 180 297 null] >> endobj 877 0 obj << /D [167 0 R /XYZ null null null] >> endobj 878 0 obj << /D [177 0 R /XYZ null null null] >> endobj 879 0 obj << /D [193 0 R /XYZ null null null] >> endobj 880 0 obj << /D [211 0 R /XYZ null null null] >> endobj 881 0 obj << /D [229 0 R /XYZ null null null] >> endobj 882 0 obj << /D [243 0 R /XYZ null null null] >> endobj 883 0 obj << /D [258 0 R /XYZ null null null] >> endobj 884 0 obj << /D [271 0 R /XYZ null null null] >> endobj 885 0 obj << /D [291 0 R /XYZ null null null] >> endobj 886 0 obj << /D [305 0 R /XYZ null null null] >> endobj 887 0 obj << /D [324 0 R /XYZ null null null] >> endobj 888 0 obj << /D [340 0 R /XYZ null null null] >> endobj 889 0 obj << /D [347 0 R /XYZ null null null] >> endobj 890 0 obj << /D [362 0 R /XYZ null null null] >> endobj 891 0 obj << /D [379 0 R /XYZ null null null] >> endobj 892 0 obj << /D [388 0 R /XYZ null null null] >> endobj 893 0 obj << /D [404 0 R /XYZ null null null] >> endobj 894 0 obj << /D [411 0 R /XYZ null null null] >> endobj 895 0 obj << /D [419 0 R /XYZ null null null] >> endobj 896 0 obj << /D [430 0 R /XYZ null null null] >> endobj 897 0 obj << /D [442 0 R /XYZ null null null] >> endobj 898 0 obj << /D [452 0 R /XYZ null null null] >> endobj 899 0 obj << /D [459 0 R /XYZ null null null] >> endobj 900 0 obj << /D [468 0 R /XYZ null null null] >> endobj 901 0 obj << /D [475 0 R /XYZ null null null] >> endobj 902 0 obj << /D [485 0 R /XYZ null null null] >> endobj 903 0 obj << /D [492 0 R /XYZ null null null] >> endobj 904 0 obj << /D [499 0 R /XYZ null null null] >> endobj 905 0 obj << /D [508 0 R /XYZ null null null] >> endobj 906 0 obj << /D [515 0 R /XYZ null null null] >> endobj 907 0 obj << /D [113 0 R /XYZ 184 125 null] >> endobj 908 0 obj << /D [271 0 R /XYZ 136 398 null] >> endobj 909 0 obj << /D [113 0 R /XYZ 215 728 null] >> endobj 910 0 obj << /D [305 0 R /XYZ 185 431 null] >> endobj 911 0 obj << /D [113 0 R /XYZ 184 300 null] >> endobj 912 0 obj << /D [379 0 R /XYZ 288 364 null] >> endobj 913 0 obj << /D [243 0 R /XYZ 162 730 null] >> endobj 914 0 obj << /D [291 0 R /XYZ 136 174 null] >> endobj 915 0 obj << /D [113 0 R /XYZ 184 144 null] >> endobj 916 0 obj << /D [324 0 R /XYZ 102 323 null] >> endobj 917 0 obj << /D [347 0 R /XYZ 102 734 null] >> endobj 918 0 obj << /D [347 0 R /XYZ 113 336 null] >> endobj 919 0 obj << /D [305 0 R /XYZ 136 519 null] >> endobj 920 0 obj << /D [525 0 R /XYZ 221 600 null] >> endobj 921 0 obj << /D [151 0 R /XYZ 184 661 null] >> endobj 922 0 obj << /D [362 0 R /XYZ 102 405 null] >> endobj 923 0 obj << /D [419 0 R /XYZ 113 237 null] >> endobj 924 0 obj << /D [305 0 R /XYZ 136 577 null] >> endobj 925 0 obj << /D [113 0 R /XYZ 186 622 null] >> endobj 926 0 obj << /D [324 0 R /XYZ 107 459 null] >> endobj 927 0 obj << /D [113 0 R /XYZ 184 393 null] >> endobj 928 0 obj << /D [151 0 R /XYZ 136 576 null] >> endobj 929 0 obj << /D [113 0 R /XYZ 186 659 null] >> endobj 930 0 obj << /D [305 0 R /XYZ 102 660 null] >> endobj 931 0 obj << /D [113 0 R /XYZ 184 218 null] >> endobj 932 0 obj << /D [113 0 R /XYZ 186 677 null] >> endobj 933 0 obj << /D [712 0 R /XYZ 376 136 null] >> endobj 934 0 obj << /D [712 0 R /XYZ 361 121 null] >> endobj 935 0 obj << /D [712 0 R /XYZ 417 106 null] >> endobj 936 0 obj << /D [712 0 R /XYZ 400 91 null] >> endobj 937 0 obj << /D [738 0 R /XYZ 180 711 null] >> endobj 938 0 obj << /D [712 0 R /XYZ 283 297 null] >> endobj 939 0 obj << /D [712 0 R /XYZ 180 282 null] >> endobj 940 0 obj << /D [712 0 R /XYZ 338 272 null] >> endobj 941 0 obj << /D [712 0 R /XYZ 180 257 null] >> endobj 942 0 obj << /D [712 0 R /XYZ 387 257 null] >> endobj 943 0 obj << /D [712 0 R /XYZ 401 242 null] >> endobj 944 0 obj << /D [712 0 R /XYZ 413 227 null] >> endobj 945 0 obj << /D [712 0 R /XYZ 427 212 null] >> endobj 946 0 obj << /D [712 0 R /XYZ 54 430 null] >> endobj 947 0 obj << /D [746 0 R /XYZ 275 44 null] >> endobj 948 0 obj << /D [754 0 R /XYZ 275 44 null] >> endobj 949 0 obj << /D [746 0 R /XYZ 558 735 null] >> endobj 950 0 obj << /D [746 0 R /XYZ 54 665 null] >> endobj 951 0 obj << /D [746 0 R /XYZ 162 474 null] >> endobj 952 0 obj << /D [746 0 R /XYZ 306 798 null] >> endobj 953 0 obj << /D [754 0 R /XYZ 306 798 null] >> endobj 954 0 obj << /D [1 0 R /XYZ null null null] >> endobj 955 0 obj << /D [571 0 R /XYZ null null null] >> endobj 956 0 obj << /D [685 0 R /XYZ null null null] >> endobj 957 0 obj << /D [738 0 R /XYZ null null null] >> endobj 958 0 obj << /D [1 0 R /XYZ 54 423 null] >> endobj 959 0 obj << /D [1 0 R /XYZ 54 678 null] >> endobj 960 0 obj << /D [388 0 R /XYZ 221 390 null] >> endobj 961 0 obj << /D [211 0 R /XYZ 184 645 null] >> endobj 962 0 obj << /D [430 0 R /XYZ 102 490 null] >> endobj 963 0 obj << /D [324 0 R /XYZ 107 646 null] >> endobj 964 0 obj << /D [211 0 R /XYZ 136 732 null] >> endobj 965 0 obj << /D [113 0 R /XYZ 184 236 null] >> endobj 966 0 obj << /D [193 0 R /XYZ 136 732 null] >> endobj 967 0 obj << /D [167 0 R /XYZ 76 681 null] >> endobj 968 0 obj << /D [324 0 R /XYZ 102 734 null] >> endobj 969 0 obj << /D [113 0 R /XYZ 184 282 null] >> endobj 970 0 obj << /D [113 0 R /XYZ 186 640 null] >> endobj 971 0 obj << /D [258 0 R /XYZ 184 669 null] >> endobj 972 0 obj << /D [92 0 R /XYZ 76 702 null] >> endobj 973 0 obj << /D [347 0 R /XYZ 107 646 null] >> endobj 974 0 obj << /D [258 0 R /XYZ 215 394 null] >> endobj 975 0 obj << /D [151 0 R /XYZ 184 679 null] >> endobj 976 0 obj << /D [151 0 R /XYZ 180 423 null] >> endobj 977 0 obj << /D [151 0 R /XYZ 162 339 null] >> endobj 978 0 obj << /D [324 0 R /XYZ 107 199 null] >> endobj 979 0 obj << /D [211 0 R /XYZ 244 228 null] >> endobj 980 0 obj << /D [243 0 R /XYZ 198 301 null] >> endobj 981 0 obj << /D [243 0 R /XYZ 198 333 null] >> endobj 982 0 obj << /D [243 0 R /XYZ 198 317 null] >> endobj 983 0 obj << /D [430 0 R /XYZ 113 698 null] >> endobj 984 0 obj << /D [92 0 R /XYZ 306 798 null] >> endobj 985 0 obj << /D [84 0 R /XYZ 306 798 null] >> endobj 986 0 obj << /D [554 0 R /XYZ 54 665 null] >> endobj 987 0 obj << /D [571 0 R /XYZ 275 44 null] >> endobj 988 0 obj << /D [554 0 R /XYZ 275 44 null] >> endobj 989 0 obj << /D [554 0 R /XYZ 306 798 null] >> endobj 990 0 obj << /D [571 0 R /XYZ 306 798 null] >> endobj 991 0 obj << /D [587 0 R /XYZ 275 44 null] >> endobj 992 0 obj << /D [594 0 R /XYZ 275 44 null] >> endobj 993 0 obj << /D [578 0 R /XYZ 275 44 null] >> endobj 994 0 obj << /D [578 0 R /XYZ 306 798 null] >> endobj 995 0 obj << /D [587 0 R /XYZ 306 798 null] >> endobj 996 0 obj << /D [578 0 R /XYZ 225 465 null] >> endobj 997 0 obj << /D [594 0 R /XYZ 306 798 null] >> endobj 998 0 obj << /D [692 0 R /XYZ 270 243 null] >> endobj 999 0 obj << /D [705 0 R /XYZ 275 44 null] >> endobj 1000 0 obj << /D [692 0 R /XYZ 275 44 null] >> endobj 1001 0 obj << /D [692 0 R /XYZ 306 798 null] >> endobj 1002 0 obj << /D [692 0 R /XYZ 558 735 null] >> endobj 1003 0 obj << /D [692 0 R /XYZ 54 665 null] >> endobj 1004 0 obj << /D [705 0 R /XYZ 306 798 null] >> endobj 1005 0 obj << /D [738 0 R /XYZ 275 44 null] >> endobj 1006 0 obj << /D [712 0 R /XYZ 275 44 null] >> endobj 1007 0 obj << /D [712 0 R /XYZ 306 798 null] >> endobj 1008 0 obj << /D [738 0 R /XYZ 306 798 null] >> endobj 1009 0 obj << /D [712 0 R /XYZ 162 550 null] >> endobj 1010 0 obj << /D [712 0 R /XYZ 406 532 null] >> endobj 1011 0 obj << /D [712 0 R /XYZ 195 478 null] >> endobj 1012 0 obj << /D [712 0 R /XYZ 180 352 null] >> endobj 1013 0 obj << /D [712 0 R /XYZ 180 334 null] >> endobj 1014 0 obj << /D [712 0 R /XYZ 346 197 null] >> endobj 1015 0 obj << /D [712 0 R /XYZ 365 182 null] >> endobj 1016 0 obj << /D [712 0 R /XYZ 366 167 null] >> endobj 1017 0 obj << /D [712 0 R /XYZ 387 152 null] >> endobj 1018 0 obj << /D [211 0 R /XYZ 192 480 null] >> endobj 1019 0 obj << /D [271 0 R /XYZ 180 357 null] >> endobj 1020 0 obj << /D [151 0 R /XYZ 162 553 null] >> endobj 1021 0 obj << /D [229 0 R /XYZ 136 732 null] >> endobj 1022 0 obj << /D [258 0 R /XYZ 136 732 null] >> endobj 1023 0 obj << /D [271 0 R /XYZ 102 470 null] >> endobj 1024 0 obj << /D [305 0 R /XYZ 136 732 null] >> endobj 1025 0 obj << /D [324 0 R /XYZ 102 547 null] >> endobj 1026 0 obj << /D [388 0 R /XYZ 162 730 null] >> endobj 1027 0 obj << /D [419 0 R /XYZ 102 592 null] >> endobj 1028 0 obj << /D [537 0 R /XYZ 102 734 null] >> endobj 1029 0 obj << /D [347 0 R /XYZ 162 392 null] >> endobj 1030 0 obj << /D [102 0 R /XYZ 76 597 null] >> endobj 1031 0 obj << /D [102 0 R /XYZ 214 261 null] >> endobj 1032 0 obj << /D [113 0 R /XYZ 186 603 null] >> endobj 1033 0 obj << /D [113 0 R /XYZ 186 585 null] >> endobj 1034 0 obj << /D [113 0 R /XYZ 186 563 null] >> endobj 1035 0 obj << /D [113 0 R /XYZ 186 541 null] >> endobj 1036 0 obj << /D [113 0 R /XYZ 186 522 null] >> endobj 1037 0 obj << /D [113 0 R /XYZ 184 504 null] >> endobj 1038 0 obj << /D [113 0 R /XYZ 184 485 null] >> endobj 1039 0 obj << /D [113 0 R /XYZ 184 467 null] >> endobj 1040 0 obj << /D [113 0 R /XYZ 184 448 null] >> endobj 1041 0 obj << /D [113 0 R /XYZ 184 430 null] >> endobj 1042 0 obj << /D [113 0 R /XYZ 184 411 null] >> endobj 1043 0 obj << /D [113 0 R /XYZ 184 374 null] >> endobj 1044 0 obj << /D [113 0 R /XYZ 184 356 null] >> endobj 1045 0 obj << /D [113 0 R /XYZ 184 337 null] >> endobj 1046 0 obj << /D [113 0 R /XYZ 184 319 null] >> endobj 1047 0 obj << /D [113 0 R /XYZ 184 259 null] >> endobj 1048 0 obj << /D [113 0 R /XYZ 184 199 null] >> endobj 1049 0 obj << /D [113 0 R /XYZ 184 162 null] >> endobj 1050 0 obj << /D [22 0 R /XYZ 166 425 null] >> endobj 1051 0 obj << /D [22 0 R /XYZ 144 413 null] >> endobj 1052 0 obj << /D [22 0 R /XYZ 166 401 null] >> endobj 1053 0 obj << /D [22 0 R /XYZ 166 389 null] >> endobj 1054 0 obj << /D [22 0 R /XYZ 144 377 null] >> endobj 1055 0 obj << /D [22 0 R /XYZ 144 365 null] >> endobj 1056 0 obj << /D [22 0 R /XYZ 144 353 null] >> endobj 1057 0 obj << /D [22 0 R /XYZ 144 341 null] >> endobj 1058 0 obj << /D [22 0 R /XYZ 144 329 null] >> endobj 1059 0 obj << /D [22 0 R /XYZ 144 317 null] >> endobj 1060 0 obj << /D [22 0 R /XYZ 144 305 null] >> endobj 1061 0 obj << /D [22 0 R /XYZ 144 293 null] >> endobj 1062 0 obj << /D [22 0 R /XYZ 144 281 null] >> endobj 1063 0 obj << /D [22 0 R /XYZ 144 269 null] >> endobj 1064 0 obj << /D [22 0 R /XYZ 144 257 null] >> endobj 1065 0 obj << /D [22 0 R /XYZ 90 234 null] >> endobj 1066 0 obj << /D [22 0 R /XYZ 90 202 null] >> endobj 1067 0 obj << /D [22 0 R /XYZ 122 178 null] >> endobj 1068 0 obj << /D [22 0 R /XYZ 90 155 null] >> endobj 1069 0 obj << /D [22 0 R /XYZ 90 123 null] >> endobj 1070 0 obj << /D [22 0 R /XYZ 122 99 null] >> endobj 1071 0 obj << /D [68 0 R /XYZ 122 730 null] >> endobj 1072 0 obj << /D [68 0 R /XYZ 122 715 null] >> endobj 1073 0 obj << /D [68 0 R /XYZ 90 692 null] >> endobj 1074 0 obj << /D [68 0 R /XYZ 122 668 null] >> endobj 1075 0 obj << /D [68 0 R /XYZ 122 653 null] >> endobj 1076 0 obj << /D [68 0 R /XYZ 122 638 null] >> endobj 1077 0 obj << /D [68 0 R /XYZ 144 626 null] >> endobj 1078 0 obj << /D [68 0 R /XYZ 144 614 null] >> endobj 1079 0 obj << /D [68 0 R /XYZ 122 599 null] >> endobj 1080 0 obj << /D [92 0 R /XYZ 275 44 null] >> endobj 1081 0 obj << /D [84 0 R /XYZ 275 44 null] >> endobj 1082 0 obj << /D [84 0 R /XYZ 180 517 null] >> endobj 1083 0 obj << /D [193 0 R /XYZ 184 645 null] >> endobj 1084 0 obj << /D [243 0 R /XYZ 184 678 null] >> endobj 1085 0 obj << /D [271 0 R /XYZ 184 690 null] >> endobj 1086 0 obj << /D [362 0 R /XYZ 185 702 null] >> endobj 1087 0 obj << /D [388 0 R /XYZ 185 654 null] >> endobj 1088 0 obj << /D [177 0 R /XYZ 107 674 null] >> endobj 1089 0 obj << /D [177 0 R /XYZ 67 147 null] >> endobj 1090 0 obj << /D [229 0 R /XYZ 184 632 null] >> endobj 1091 0 obj << /D [411 0 R /XYZ 221 322 null] >> endobj 1092 0 obj << /D [475 0 R /XYZ 175 325 null] >> endobj 1093 0 obj << /D [305 0 R /XYZ 215 303 null] >> endobj 1094 0 obj << /D [362 0 R /XYZ 221 506 null] >> endobj 1095 0 obj << /D [419 0 R /XYZ 221 504 null] >> endobj 1096 0 obj << /D [442 0 R /XYZ 221 686 null] >> endobj 1097 0 obj << /D [475 0 R /XYZ 221 285 null] >> endobj 1098 0 obj << /D [537 0 R /XYZ 236 538 null] >> endobj 1099 0 obj << /D [291 0 R /XYZ 310 251 null] >> endobj 1100 0 obj << /D [738 0 R /XYZ 36 789 null] >> endobj 1101 0 obj << /D [712 0 R /XYZ 36 789 null] >> endobj 1102 0 obj << /D [712 0 R /XYZ 54 581 null] >> endobj 1103 0 obj << /D [712 0 R /XYZ 162 555 null] >> endobj 1104 0 obj << /D [712 0 R /XYZ 162 525 null] >> endobj 1105 0 obj << /D [712 0 R /XYZ 54 497 null] >> endobj 1106 0 obj << /D [712 0 R /XYZ 54 419 null] >> endobj 1107 0 obj << /D [712 0 R /XYZ 162 190 null] >> endobj 1108 0 obj << /D [712 0 R /XYZ 162 175 null] >> endobj 1109 0 obj << /D [712 0 R /XYZ 162 160 null] >> endobj 1110 0 obj << /D [712 0 R /XYZ 162 145 null] >> endobj 1111 0 obj << /D [712 0 R /XYZ 162 130 null] >> endobj 1112 0 obj << /D [712 0 R /XYZ 162 115 null] >> endobj 1113 0 obj << /D [712 0 R /XYZ 162 100 null] >> endobj 1114 0 obj << /D [712 0 R /XYZ 162 85 null] >> endobj 1115 0 obj << /D [712 0 R /XYZ 162 471 null] >> endobj 1116 0 obj << /D [738 0 R /XYZ 162 723 null] >> endobj 1117 0 obj << /D [738 0 R /XYZ 162 705 null] >> endobj 1118 0 obj << /D [712 0 R /XYZ 162 291 null] >> endobj 1119 0 obj << /D [712 0 R /XYZ 162 276 null] >> endobj 1120 0 obj << /D [712 0 R /XYZ 162 250 null] >> endobj 1121 0 obj << /D [712 0 R /XYZ 162 220 null] >> endobj 1122 0 obj << /D [712 0 R /XYZ 162 205 null] >> endobj 1123 0 obj << /D [754 0 R /XYZ 36 789 null] >> endobj 1124 0 obj << /D [746 0 R /XYZ 36 789 null] >> endobj 1125 0 obj << /D [746 0 R /XYZ 54 439 null] >> endobj 1126 0 obj << /D [746 0 R /XYZ 54 291 null] >> endobj 1127 0 obj << /D [746 0 R /XYZ 54 646 null] >> endobj 1128 0 obj << /D [746 0 R /XYZ 54 367 null] >> endobj 1129 0 obj << /D [746 0 R /XYZ 54 145 null] >> endobj 1130 0 obj << /D [746 0 R /XYZ 54 511 null] >> endobj 1131 0 obj << /D [754 0 R /XYZ 54 725 null] >> endobj 1132 0 obj << /D [746 0 R /XYZ 162 467 null] >> endobj 1133 0 obj << /D [1 0 R /XYZ 275 44 null] >> endobj 1134 0 obj << /D [1 0 R /XYZ 54 574 null] >> endobj 1135 0 obj << /D [1 0 R /XYZ 54 545 null] >> endobj 1136 0 obj << /D [1433 0 R /XYZ 306 798 null] >> endobj 1137 0 obj << /D [1 0 R /XYZ 306 798 null] >> endobj 1138 0 obj << /D [22 0 R /XYZ 275 44 null] >> endobj 1139 0 obj << /D [68 0 R /XYZ 275 44 null] >> endobj 1140 0 obj << /D [22 0 R /XYZ 306 798 null] >> endobj 1141 0 obj << /D [68 0 R /XYZ 306 798 null] >> endobj 1142 0 obj << /D [22 0 R /XYZ 122 667 null] >> endobj 1143 0 obj << /D [22 0 R /XYZ 90 644 null] >> endobj 1144 0 obj << /D [22 0 R /XYZ 122 620 null] >> endobj 1145 0 obj << /D [22 0 R /XYZ 122 605 null] >> endobj 1146 0 obj << /D [22 0 R /XYZ 144 593 null] >> endobj 1147 0 obj << /D [22 0 R /XYZ 166 581 null] >> endobj 1148 0 obj << /D [22 0 R /XYZ 166 569 null] >> endobj 1149 0 obj << /D [22 0 R /XYZ 166 557 null] >> endobj 1150 0 obj << /D [22 0 R /XYZ 166 545 null] >> endobj 1151 0 obj << /D [22 0 R /XYZ 166 533 null] >> endobj 1152 0 obj << /D [22 0 R /XYZ 166 521 null] >> endobj 1153 0 obj << /D [22 0 R /XYZ 166 509 null] >> endobj 1154 0 obj << /D [22 0 R /XYZ 166 497 null] >> endobj 1155 0 obj << /D [22 0 R /XYZ 166 485 null] >> endobj 1156 0 obj << /D [22 0 R /XYZ 144 473 null] >> endobj 1157 0 obj << /D [22 0 R /XYZ 166 461 null] >> endobj 1158 0 obj << /D [22 0 R /XYZ 166 449 null] >> endobj 1159 0 obj << /D [22 0 R /XYZ 166 437 null] >> endobj 1160 0 obj << /D [340 0 R /XYZ 167 280 null] >> endobj 1161 0 obj << /D [324 0 R /XYZ 221 100 null] >> endobj 1162 0 obj << /D [340 0 R /XYZ 221 668 null] >> endobj 1163 0 obj << /D [340 0 R /XYZ 221 650 null] >> endobj 1164 0 obj << /D [340 0 R /XYZ 221 631 null] >> endobj 1165 0 obj << /D [340 0 R /XYZ 221 557 null] >> endobj 1166 0 obj << /D [340 0 R /XYZ 221 539 null] >> endobj 1167 0 obj << /D [340 0 R /XYZ 221 520 null] >> endobj 1168 0 obj << /D [340 0 R /XYZ 221 502 null] >> endobj 1169 0 obj << /D [340 0 R /XYZ 221 594 null] >> endobj 1170 0 obj << /D [340 0 R /XYZ 494 557 null] >> endobj 1171 0 obj << /D [340 0 R /XYZ 494 539 null] >> endobj 1172 0 obj << /D [340 0 R /XYZ 494 261 null] >> endobj 1173 0 obj << /D [340 0 R /XYZ 494 243 null] >> endobj 1174 0 obj << /D [347 0 R /XYZ 54 329 null] >> endobj 1175 0 obj << /D [347 0 R /XYZ 124 256 null] >> endobj 1176 0 obj << /D [347 0 R /XYZ 124 237 null] >> endobj 1177 0 obj << /D [347 0 R /XYZ 124 219 null] >> endobj 1178 0 obj << /D [347 0 R /XYZ 466 163 null] >> endobj 1179 0 obj << /D [347 0 R /XYZ 466 145 null] >> endobj 1180 0 obj << /D [362 0 R /XYZ 346 444 null] >> endobj 1181 0 obj << /D [362 0 R /XYZ 346 426 null] >> endobj 1182 0 obj << /D [430 0 R /XYZ 54 691 null] >> endobj 1183 0 obj << /D [430 0 R /XYZ 500 636 null] >> endobj 1184 0 obj << /D [430 0 R /XYZ 99 618 null] >> endobj 1185 0 obj << /D [430 0 R /XYZ 157 618 null] >> endobj 1186 0 obj << /D [430 0 R /XYZ 444 618 null] >> endobj 1187 0 obj << /D [430 0 R /XYZ 500 618 null] >> endobj 1188 0 obj << /D [430 0 R /XYZ 99 599 null] >> endobj 1189 0 obj << /D [430 0 R /XYZ 157 599 null] >> endobj 1190 0 obj << /D [430 0 R /XYZ 444 599 null] >> endobj 1191 0 obj << /D [430 0 R /XYZ 500 599 null] >> endobj 1192 0 obj << /D [430 0 R /XYZ 99 581 null] >> endobj 1193 0 obj << /D [430 0 R /XYZ 157 581 null] >> endobj 1194 0 obj << /D [430 0 R /XYZ 444 581 null] >> endobj 1195 0 obj << /D [430 0 R /XYZ 500 581 null] >> endobj 1196 0 obj << /D [419 0 R /XYZ 54 230 null] >> endobj 1197 0 obj << /D [419 0 R /XYZ 209 175 null] >> endobj 1198 0 obj << /D [419 0 R /XYZ 486 175 null] >> endobj 1199 0 obj << /D [419 0 R /XYZ 209 157 null] >> endobj 1200 0 obj << /D [419 0 R /XYZ 486 157 null] >> endobj 1201 0 obj << /D [419 0 R /XYZ 209 138 null] >> endobj 1202 0 obj << /D [419 0 R /XYZ 486 138 null] >> endobj 1203 0 obj << /D [419 0 R /XYZ 209 120 null] >> endobj 1204 0 obj << /D [419 0 R /XYZ 486 120 null] >> endobj 1205 0 obj << /D [554 0 R /XYZ 54 646 null] >> endobj 1206 0 obj << /D [554 0 R /XYZ 162 141 null] >> endobj 1207 0 obj << /D [554 0 R /XYZ 54 508 null] >> endobj 1208 0 obj << /D [571 0 R /XYZ 36 789 null] >> endobj 1209 0 obj << /D [554 0 R /XYZ 36 789 null] >> endobj 1210 0 obj << /D [578 0 R /XYZ 54 646 null] >> endobj 1211 0 obj << /D [587 0 R /XYZ 36 789 null] >> endobj 1212 0 obj << /D [594 0 R /XYZ 36 789 null] >> endobj 1213 0 obj << /D [578 0 R /XYZ 36 789 null] >> endobj 1214 0 obj << /D [578 0 R /XYZ 54 421 null] >> endobj 1215 0 obj << /D [578 0 R /XYZ 162 459 null] >> endobj 1216 0 obj << /D [685 0 R /XYZ 162 382 null] >> endobj 1217 0 obj << /D [578 0 R /XYZ 162 395 null] >> endobj 1218 0 obj << /D [692 0 R /XYZ 54 545 null] >> endobj 1219 0 obj << /D [705 0 R /XYZ 36 789 null] >> endobj 1220 0 obj << /D [692 0 R /XYZ 36 789 null] >> endobj 1221 0 obj << /D [692 0 R /XYZ 54 646 null] >> endobj 1222 0 obj << /D [712 0 R /XYZ 54 646 null] >> endobj 1223 0 obj << /D [151 0 R /XYZ 162 674 null] >> endobj 1224 0 obj << /D [151 0 R /XYZ 162 655 null] >> endobj 1225 0 obj << /D [151 0 R /XYZ 54 627 null] >> endobj 1226 0 obj << /D [151 0 R /XYZ 162 432 null] >> endobj 1227 0 obj << /D [151 0 R /XYZ 162 416 null] >> endobj 1228 0 obj << /D [151 0 R /XYZ 162 332 null] >> endobj 1229 0 obj << /D [102 0 R /XYZ 54 725 null] >> endobj 1230 0 obj << /D [324 0 R /XYZ 295 566 null] >> endobj 1231 0 obj << /D [324 0 R /XYZ 393 566 null] >> endobj 1232 0 obj << /D [324 0 R /XYZ 81 566 null] >> endobj 1233 0 obj << /D [324 0 R /XYZ 361 425 null] >> endobj 1234 0 obj << /D [324 0 R /XYZ 273 397 null] >> endobj 1235 0 obj << /D [324 0 R /XYZ 273 379 null] >> endobj 1236 0 obj << /D [324 0 R /XYZ 361 379 null] >> endobj 1237 0 obj << /D [324 0 R /XYZ 273 360 null] >> endobj 1238 0 obj << /D [324 0 R /XYZ 361 360 null] >> endobj 1239 0 obj << /D [324 0 R /XYZ 273 342 null] >> endobj 1240 0 obj << /D [324 0 R /XYZ 361 342 null] >> endobj 1241 0 obj << /D [324 0 R /XYZ 54 192 null] >> endobj 1242 0 obj << /D [324 0 R /XYZ 89 119 null] >> endobj 1243 0 obj << /D [324 0 R /XYZ 167 119 null] >> endobj 1244 0 obj << /D [324 0 R /XYZ 89 100 null] >> endobj 1245 0 obj << /D [324 0 R /XYZ 167 100 null] >> endobj 1246 0 obj << /D [340 0 R /XYZ 89 668 null] >> endobj 1247 0 obj << /D [340 0 R /XYZ 167 668 null] >> endobj 1248 0 obj << /D [340 0 R /XYZ 89 650 null] >> endobj 1249 0 obj << /D [340 0 R /XYZ 89 631 null] >> endobj 1250 0 obj << /D [340 0 R /XYZ 89 613 null] >> endobj 1251 0 obj << /D [340 0 R /XYZ 89 557 null] >> endobj 1252 0 obj << /D [340 0 R /XYZ 167 557 null] >> endobj 1253 0 obj << /D [340 0 R /XYZ 89 539 null] >> endobj 1254 0 obj << /D [340 0 R /XYZ 167 539 null] >> endobj 1255 0 obj << /D [340 0 R /XYZ 89 520 null] >> endobj 1256 0 obj << /D [340 0 R /XYZ 167 520 null] >> endobj 1257 0 obj << /D [340 0 R /XYZ 89 502 null] >> endobj 1258 0 obj << /D [340 0 R /XYZ 167 502 null] >> endobj 1259 0 obj << /D [340 0 R /XYZ 89 483 null] >> endobj 1260 0 obj << /D [340 0 R /XYZ 167 483 null] >> endobj 1261 0 obj << /D [340 0 R /XYZ 167 465 null] >> endobj 1262 0 obj << /D [340 0 R /XYZ 167 446 null] >> endobj 1263 0 obj << /D [340 0 R /XYZ 167 428 null] >> endobj 1264 0 obj << /D [340 0 R /XYZ 167 409 null] >> endobj 1265 0 obj << /D [340 0 R /XYZ 167 391 null] >> endobj 1266 0 obj << /D [340 0 R /XYZ 167 261 null] >> endobj 1267 0 obj << /D [340 0 R /XYZ 167 243 null] >> endobj 1268 0 obj << /D [340 0 R /XYZ 167 224 null] >> endobj 1269 0 obj << /D [340 0 R /XYZ 167 206 null] >> endobj 1270 0 obj << /D [340 0 R /XYZ 167 187 null] >> endobj 1271 0 obj << /D [340 0 R /XYZ 167 169 null] >> endobj 1272 0 obj << /D [340 0 R /XYZ 167 150 null] >> endobj 1273 0 obj << /D [340 0 R /XYZ 167 132 null] >> endobj 1274 0 obj << /D [347 0 R /XYZ 54 725 null] >> endobj 1275 0 obj << /D [324 0 R /XYZ 221 119 null] >> endobj 1276 0 obj << /D [340 0 R /XYZ 494 631 null] >> endobj 1277 0 obj << /D [340 0 R /XYZ 221 613 null] >> endobj 1278 0 obj << /D [340 0 R /XYZ 494 613 null] >> endobj 1279 0 obj << /D [340 0 R /XYZ 494 594 null] >> endobj 1280 0 obj << /D [340 0 R /XYZ 494 483 null] >> endobj 1281 0 obj << /D [340 0 R /XYZ 494 391 null] >> endobj 1282 0 obj << /D [340 0 R /XYZ 494 372 null] >> endobj 1283 0 obj << /D [340 0 R /XYZ 494 354 null] >> endobj 1284 0 obj << /D [340 0 R /XYZ 494 335 null] >> endobj 1285 0 obj << /D [340 0 R /XYZ 494 317 null] >> endobj 1286 0 obj << /D [340 0 R /XYZ 494 298 null] >> endobj 1287 0 obj << /D [291 0 R /XYZ 54 166 null] >> endobj 1288 0 obj << /D [305 0 R /XYZ 162 296 null] >> endobj 1289 0 obj << /D [324 0 R /XYZ 54 639 null] >> endobj 1290 0 obj << /D [324 0 R /XYZ 54 452 null] >> endobj 1291 0 obj << /D [324 0 R /XYZ 212 397 null] >> endobj 1292 0 obj << /D [324 0 R /XYZ 96 379 null] >> endobj 1293 0 obj << /D [324 0 R /XYZ 212 379 null] >> endobj 1294 0 obj << /D [324 0 R /XYZ 96 360 null] >> endobj 1295 0 obj << /D [324 0 R /XYZ 212 360 null] >> endobj 1296 0 obj << /D [324 0 R /XYZ 96 342 null] >> endobj 1297 0 obj << /D [324 0 R /XYZ 212 342 null] >> endobj 1298 0 obj << /D [347 0 R /XYZ 54 639 null] >> endobj 1299 0 obj << /D [347 0 R /XYZ 108 566 null] >> endobj 1300 0 obj << /D [347 0 R /XYZ 108 547 null] >> endobj 1301 0 obj << /D [347 0 R /XYZ 108 529 null] >> endobj 1302 0 obj << /D [362 0 R /XYZ 162 499 null] >> endobj 1303 0 obj << /D [388 0 R /XYZ 162 383 null] >> endobj 1304 0 obj << /D [411 0 R /XYZ 162 315 null] >> endobj 1305 0 obj << /D [419 0 R /XYZ 162 497 null] >> endobj 1306 0 obj << /D [442 0 R /XYZ 162 679 null] >> endobj 1307 0 obj << /D [475 0 R /XYZ 162 319 null] >> endobj 1308 0 obj << /D [475 0 R /XYZ 162 278 null] >> endobj 1309 0 obj << /D [525 0 R /XYZ 162 652 null] >> endobj 1310 0 obj << /D [537 0 R /XYZ 162 563 null] >> endobj 1311 0 obj << /D [537 0 R /XYZ 162 531 null] >> endobj 1312 0 obj << /D [291 0 R /XYZ 54 245 null] >> endobj 1313 0 obj << /D [305 0 R /XYZ 162 430 null] >> endobj 1314 0 obj << /D [525 0 R /XYZ 162 593 null] >> endobj 1315 0 obj << /D [211 0 R /XYZ 162 643 null] >> endobj 1316 0 obj << /D [305 0 R /XYZ 54 651 null] >> endobj 1317 0 obj << /D [525 0 R /XYZ 54 453 null] >> endobj 1318 0 obj << /D [258 0 R /XYZ 162 667 null] >> endobj 1319 0 obj << /D [151 0 R /XYZ 54 568 null] >> endobj 1320 0 obj << /D [102 0 R /XYZ 54 596 null] >> endobj 1321 0 obj << /D [102 0 R /XYZ 54 254 null] >> endobj 1322 0 obj << /D [113 0 R /XYZ 162 721 null] >> endobj 1323 0 obj << /D [113 0 R /XYZ 162 672 null] >> endobj 1324 0 obj << /D [113 0 R /XYZ 162 653 null] >> endobj 1325 0 obj << /D [113 0 R /XYZ 162 598 null] >> endobj 1326 0 obj << /D [113 0 R /XYZ 162 580 null] >> endobj 1327 0 obj << /D [113 0 R /XYZ 162 558 null] >> endobj 1328 0 obj << /D [113 0 R /XYZ 162 535 null] >> endobj 1329 0 obj << /D [113 0 R /XYZ 162 517 null] >> endobj 1330 0 obj << /D [113 0 R /XYZ 162 498 null] >> endobj 1331 0 obj << /D [113 0 R /XYZ 162 480 null] >> endobj 1332 0 obj << /D [113 0 R /XYZ 162 461 null] >> endobj 1333 0 obj << /D [113 0 R /XYZ 162 443 null] >> endobj 1334 0 obj << /D [113 0 R /XYZ 162 424 null] >> endobj 1335 0 obj << /D [113 0 R /XYZ 162 406 null] >> endobj 1336 0 obj << /D [113 0 R /XYZ 162 387 null] >> endobj 1337 0 obj << /D [113 0 R /XYZ 162 369 null] >> endobj 1338 0 obj << /D [113 0 R /XYZ 162 350 null] >> endobj 1339 0 obj << /D [113 0 R /XYZ 162 332 null] >> endobj 1340 0 obj << /D [113 0 R /XYZ 162 313 null] >> endobj 1341 0 obj << /D [113 0 R /XYZ 162 295 null] >> endobj 1342 0 obj << /D [113 0 R /XYZ 162 276 null] >> endobj 1343 0 obj << /D [113 0 R /XYZ 162 254 null] >> endobj 1344 0 obj << /D [113 0 R /XYZ 162 231 null] >> endobj 1345 0 obj << /D [113 0 R /XYZ 162 212 null] >> endobj 1346 0 obj << /D [113 0 R /XYZ 162 194 null] >> endobj 1347 0 obj << /D [113 0 R /XYZ 162 175 null] >> endobj 1348 0 obj << /D [113 0 R /XYZ 162 157 null] >> endobj 1349 0 obj << /D [113 0 R /XYZ 162 138 null] >> endobj 1350 0 obj << /D [113 0 R /XYZ 162 120 null] >> endobj 1351 0 obj << /D [1433 0 R /XYZ null null null] >> endobj 1352 0 obj << /D [554 0 R /XYZ null null null] >> endobj 1353 0 obj << /D [578 0 R /XYZ null null null] >> endobj 1354 0 obj << /D [692 0 R /XYZ null null null] >> endobj 1355 0 obj << /D [712 0 R /XYZ null null null] >> endobj 1356 0 obj << /D [746 0 R /XYZ null null null] >> endobj 1357 0 obj << /D [1 0 R /XYZ 54 412 null] >> endobj 1358 0 obj << /D [1433 0 R /XYZ 72 727 null] >> endobj 1359 0 obj << /D [1 0 R /XYZ 36 789 null] >> endobj 1360 0 obj << /D [1433 0 R /XYZ 36 789 null] >> endobj 1361 0 obj << /D [1 0 R /XYZ 54 673 null] >> endobj 1362 0 obj << /D [1 0 R /XYZ 184 312 null] >> endobj 1363 0 obj << /D [22 0 R /XYZ 54 738 null] >> endobj 1364 0 obj << /D [68 0 R /XYZ 36 789 null] >> endobj 1365 0 obj << /D [22 0 R /XYZ 36 789 null] >> endobj 1366 0 obj << /D [84 0 R /XYZ 162 728 null] >> endobj 1367 0 obj << /D [92 0 R /XYZ 36 789 null] >> endobj 1368 0 obj << /D [84 0 R /XYZ 36 789 null] >> endobj 1369 0 obj << /D [84 0 R /XYZ 54 655 null] >> endobj 1370 0 obj << /D [84 0 R /XYZ 54 482 null] >> endobj 1371 0 obj << /D [167 0 R /XYZ 54 724 null] >> endobj 1372 0 obj << /D [193 0 R /XYZ 54 724 null] >> endobj 1373 0 obj << /D [211 0 R /XYZ 54 724 null] >> endobj 1374 0 obj << /D [211 0 R /XYZ 162 474 null] >> endobj 1375 0 obj << /D [211 0 R /XYZ 54 419 null] >> endobj 1376 0 obj << /D [211 0 R /XYZ 54 361 null] >> endobj 1377 0 obj << /D [211 0 R /XYZ 54 256 null] >> endobj 1378 0 obj << /D [211 0 R /XYZ 162 233 null] >> endobj 1379 0 obj << /D [229 0 R /XYZ 54 724 null] >> endobj 1380 0 obj << /D [243 0 R /XYZ 162 723 null] >> endobj 1381 0 obj << /D [258 0 R /XYZ 54 724 null] >> endobj 1382 0 obj << /D [271 0 R /XYZ 54 461 null] >> endobj 1383 0 obj << /D [271 0 R /XYZ 162 437 null] >> endobj 1384 0 obj << /D [271 0 R /XYZ 54 390 null] >> endobj 1385 0 obj << /D [291 0 R /XYZ 54 224 null] >> endobj 1386 0 obj << /D [305 0 R /XYZ 54 724 null] >> endobj 1387 0 obj << /D [305 0 R /XYZ 54 569 null] >> endobj 1388 0 obj << /D [305 0 R /XYZ 54 511 null] >> endobj 1389 0 obj << /D [324 0 R /XYZ 54 725 null] >> endobj 1390 0 obj << /D [324 0 R /XYZ 54 538 null] >> endobj 1391 0 obj << /D [324 0 R /XYZ 54 314 null] >> endobj 1392 0 obj << /D [347 0 R /XYZ 54 427 null] >> endobj 1393 0 obj << /D [347 0 R /XYZ 162 385 null] >> endobj 1394 0 obj << /D [362 0 R /XYZ 54 573 null] >> endobj 1395 0 obj << /D [362 0 R /XYZ 54 396 null] >> endobj 1396 0 obj << /D [419 0 R /XYZ 54 583 null] >> endobj 1397 0 obj << /D [430 0 R /XYZ 54 481 null] >> endobj 1398 0 obj << /D [537 0 R /XYZ 54 725 null] >> endobj 1399 0 obj << /D [537 0 R /XYZ 162 683 null] >> endobj 1400 0 obj << /D [92 0 R /XYZ 54 701 null] >> endobj 1401 0 obj << /D [167 0 R /XYZ 54 679 null] >> endobj 1402 0 obj << /D [229 0 R /XYZ 162 631 null] >> endobj 1403 0 obj << /D [243 0 R /XYZ 162 677 null] >> endobj 1404 0 obj << /D [271 0 R /XYZ 162 689 null] >> endobj 1405 0 obj << /D [362 0 R /XYZ 162 701 null] >> endobj 1406 0 obj << /D [379 0 R /XYZ 54 721 null] >> endobj 1407 0 obj << /D [379 0 R /XYZ 54 357 null] >> endobj 1408 0 obj << /D [388 0 R /XYZ 162 653 null] >> endobj 1409 0 obj << /D [177 0 R /XYZ 54 667 null] >> endobj 1410 0 obj << /D [177 0 R /XYZ 54 142 null] >> endobj 1411 0 obj << /D [229 0 R /XYZ 162 398 null] >> endobj 1412 0 obj << /D [258 0 R /XYZ 162 387 null] >> endobj 1413 0 obj << /Nums [0 1414 0 R] >> endobj 1414 0 obj << /S /D >> endobj 1415 0 obj << /Count 66 /Kids [1416 0 R 1417 0 R 1418 0 R 1419 0 R 1420 0 R 1421 0 R 1422 0 R] /Type /Pages >> endobj 1416 0 obj << /Count 10 /Kids [1433 0 R 1 0 R 22 0 R 68 0 R 84 0 R 92 0 R 102 0 R 113 0 R 151 0 R 167 0 R] /Parent 1415 0 R /Type /Pages >> endobj 1417 0 obj << /Count 10 /Kids [177 0 R 193 0 R 211 0 R 229 0 R 243 0 R 258 0 R 271 0 R 291 0 R 305 0 R 324 0 R] /Parent 1415 0 R /Type /Pages >> endobj 1418 0 obj << /Count 10 /Kids [340 0 R 347 0 R 362 0 R 379 0 R 388 0 R 404 0 R 411 0 R 419 0 R 430 0 R 442 0 R] /Parent 1415 0 R /Type /Pages >> endobj 1419 0 obj << /Count 10 /Kids [452 0 R 459 0 R 468 0 R 475 0 R 485 0 R 492 0 R 499 0 R 508 0 R 515 0 R 525 0 R] /Parent 1415 0 R /Type /Pages >> endobj 1420 0 obj << /Count 10 /Kids [537 0 R 547 0 R 554 0 R 571 0 R 578 0 R 587 0 R 594 0 R 601 0 R 608 0 R 615 0 R] /Parent 1415 0 R /Type /Pages >> endobj 1421 0 obj << /Count 10 /Kids [622 0 R 629 0 R 636 0 R 643 0 R 650 0 R 657 0 R 664 0 R 671 0 R 678 0 R 685 0 R] /Parent 1415 0 R /Type /Pages >> endobj 1422 0 obj << /Count 6 /Kids [692 0 R 705 0 R 712 0 R 738 0 R 746 0 R 754 0 R] /Parent 1415 0 R /Type /Pages >> endobj 1423 0 obj << /Kids [1424 0 R] >> endobj 1424 0 obj << /Limits [(\000X\000i\000l\000i\000n\000x\000.\000j\000o\000b\000o\000p\000t\000i\000o\000n\000s) (\000X\000i\000l\000i\000n\000x\000.\000j\000o\000b\000o\000p\000t\000i\000o\000n\000s)] /Names [(\000X\000i\000l\000i\000n\000x\000.\000j\000o\000b\000o\000p\000t\000i\000o\000n\000s) 1425 0 R] >> endobj 1425 0 obj << /EF << /F 819 0 R >> /F (Xilinx.joboptions) /Type /Filespec /UF (\000X\000i\000l\000i\000n\000x\000.\000j\000o\000b\000o\000p\000t\000i\000o\000n\000s) >> endobj 1426 0 obj << /Length 2982 /Subtype /XML /Type /Metadata >> stream FrameMaker 10.0.2 2014-10-09T16:33:11Z 2014-10-09T16:59:53+07:00 application/pdf Kintex-7 FPGA KC724 GTX Transceiver Characterization Board User Guide (UG932) Xilinx, Inc. This document describes the components, features, and operation of the KC724 Kintex&reg;-7 FPGA GTX Transceiver Characterization Board. The KC724 board provides the hardware environment for characterizing and evaluating the GTX transceivers available on the Kintex-7 XC7K325T-3 FFG900E FPGA. Acrobat Distiller 10.1.12 (Windows) 724, ug932, 932, GTX, kc724, PMBus, system ACE, SMA, SuperClock-2, FPGA mezzanine card, VITA uuid:365a7b1d-6e89-438f-9012-1c73997cceb7 uuid:e300ffd4-17e6-4415-8daf-6037bc4746d0 endstream endobj 1427 0 obj << /Author (Xilinx, Inc.) /CreationDate (D:20141009163311Z) /Creator (FrameMaker 10.0.2) /Keywords (724, ug932, 932, GTX, kc724, PMBus, system ACE, SMA, SuperClock-2, FPGA mezzanine card, VITA) /ModDate (D:20141009165953+07'00') /Producer (Xilinx, Inc. via ABCpdf) /Subject (This document describes the components, features, and operation of the KC724 Kintex®-7 FPGA GTX T\ ransceiver Characterization Board. The KC724 board provides the hardware environment for characterizi\ ng and evaluating the GTX transceivers available on the Kintex-7 XC7K325T-3 FFG900E FPGA.) /Title (Kintex-7 FPGA KC724 GTX Transceiver Characterization Board User Guide \(UG932\)) /XilinxDocID (UG932) >> endobj 1428 0 obj << /Dests 820 0 R /EmbeddedFiles 1423 0 R >> endobj 1429 0 obj << /Count 9 /First 1450 0 R /Last 1450 0 R /Type /Outlines >> endobj xref 0 1430 0000000000 65535 f 0000054019 00000 n 0000054280 00000 n 0000054440 00000 n 0000054598 00000 n 0000054748 00000 n 0000054919 00000 n 0000055072 00000 n 0000055250 00000 n 0000055430 00000 n 0000055603 00000 n 0000055759 00000 n 0000055923 00000 n 0000056087 00000 n 0000056257 00000 n 0000056437 00000 n 0000056606 00000 n 0000056779 00000 n 0000056924 00000 n 0000057115 00000 n 0000057159 00000 n 0000063836 00000 n 0000075627 00000 n 0000076081 00000 n 0000076207 00000 n 0000076325 00000 n 0000076449 00000 n 0000076574 00000 n 0000076692 00000 n 0000076817 00000 n 0000076941 00000 n 0000077066 00000 n 0000077191 00000 n 0000077316 00000 n 0000077441 00000 n 0000077565 00000 n 0000077690 00000 n 0000077815 00000 n 0000077933 00000 n 0000078058 00000 n 0000078182 00000 n 0000078307 00000 n 0000078431 00000 n 0000078549 00000 n 0000078674 00000 n 0000078799 00000 n 0000078917 00000 n 0000079035 00000 n 0000079153 00000 n 0000079271 00000 n 0000079389 00000 n 0000079507 00000 n 0000079625 00000 n 0000079743 00000 n 0000079861 00000 n 0000079979 00000 n 0000080097 00000 n 0000080212 00000 n 0000080330 00000 n 0000080454 00000 n 0000080572 00000 n 0000080686 00000 n 0000080808 00000 n 0000080959 00000 n 0000081168 00000 n 0000081245 00000 n 0000082069 00000 n 0000082383 00000 n 0000085003 00000 n 0000085247 00000 n 0000085372 00000 n 0000085497 00000 n 0000085615 00000 n 0000085740 00000 n 0000085865 00000 n 0000085990 00000 n 0000086108 00000 n 0000086226 00000 n 0000086351 00000 n 0000086502 00000 n 0000086762 00000 n 0000086839 00000 n 0000087664 00000 n 0000087978 00000 n 0000090118 00000 n 0000090306 00000 n 0000090457 00000 n 0000090609 00000 n 0000090881 00000 n 0000090958 00000 n 0000091784 00000 n 0000092098 00000 n 0000095724 00000 n 0000095913 00000 n 0000096064 00000 n 0000096189 00000 n 0000096486 00000 n 0000096531 00000 n 0000096608 00000 n 0000097433 00000 n 0000097747 00000 n 0000102948 00000 n 0000134069 00000 n 0000134272 00000 n 0000134423 00000 n 0000134545 00000 n 0000134670 00000 n 0000134996 00000 n 0000135042 00000 n 0000135120 00000 n 0000135947 00000 n 0000136262 00000 n 0000143786 00000 n 0000192952 00000 n 0000193387 00000 n 0000193538 00000 n 0000193661 00000 n 0000193784 00000 n 0000193910 00000 n 0000194034 00000 n 0000194160 00000 n 0000194285 00000 n 0000194411 00000 n 0000194536 00000 n 0000194660 00000 n 0000194785 00000 n 0000194911 00000 n 0000195035 00000 n 0000195160 00000 n 0000195285 00000 n 0000195410 00000 n 0000195535 00000 n 0000195659 00000 n 0000195784 00000 n 0000195909 00000 n 0000196033 00000 n 0000196158 00000 n 0000196283 00000 n 0000196407 00000 n 0000196532 00000 n 0000196657 00000 n 0000196780 00000 n 0000196904 00000 n 0000197028 00000 n 0000197152 00000 n 0000197278 00000 n 0000197402 00000 n 0000197664 00000 n 0000197742 00000 n 0000198568 00000 n 0000198883 00000 n 0000203678 00000 n 0000203937 00000 n 0000204088 00000 n 0000204213 00000 n 0000204339 00000 n 0000204465 00000 n 0000204591 00000 n 0000204717 00000 n 0000204843 00000 n 0000204969 00000 n 0000205093 00000 n 0000205218 00000 n 0000205506 00000 n 0000205584 00000 n 0000206410 00000 n 0000206725 00000 n 0000210923 00000 n 0000211118 00000 n 0000211269 00000 n 0000211391 00000 n 0000211693 00000 n 0000211739 00000 n 0000211817 00000 n 0000212643 00000 n 0000212959 00000 n 0000217230 00000 n 0000253263 00000 n 0000253522 00000 n 0000253673 00000 n 0000253796 00000 n 0000253919 00000 n 0000254042 00000 n 0000254165 00000 n 0000254290 00000 n 0000254415 00000 n 0000254540 00000 n 0000254665 00000 n 0000254790 00000 n 0000255065 00000 n 0000255143 00000 n 0000255970 00000 n 0000256286 00000 n 0000261140 00000 n 0000261399 00000 n 0000261550 00000 n 0000261676 00000 n 0000261799 00000 n 0000261922 00000 n 0000262045 00000 n 0000262171 00000 n 0000262295 00000 n 0000262418 00000 n 0000262541 00000 n 0000262667 00000 n 0000262995 00000 n 0000263041 00000 n 0000263119 00000 n 0000263945 00000 n 0000264261 00000 n 0000268691 00000 n 0000300594 00000 n 0000300853 00000 n 0000301004 00000 n 0000301183 00000 n 0000301309 00000 n 0000301464 00000 n 0000301590 00000 n 0000301712 00000 n 0000301837 00000 n 0000301963 00000 n 0000302089 00000 n 0000302214 00000 n 0000302555 00000 n 0000302601 00000 n 0000302679 00000 n 0000303505 00000 n 0000303821 00000 n 0000308970 00000 n 0000344221 00000 n 0000344440 00000 n 0000344591 00000 n 0000344717 00000 n 0000344840 00000 n 0000344966 00000 n 0000345090 00000 n 0000345393 00000 n 0000345439 00000 n 0000345517 00000 n 0000346343 00000 n 0000346659 00000 n 0000390776 00000 n 0000394283 00000 n 0000428533 00000 n 0000428768 00000 n 0000428919 00000 n 0000429111 00000 n 0000429345 00000 n 0000429529 00000 n 0000429654 00000 n 0000429780 00000 n 0000429905 00000 n 0000430221 00000 n 0000430267 00000 n 0000430345 00000 n 0000431172 00000 n 0000431488 00000 n 0000435655 00000 n 0000466437 00000 n 0000466648 00000 n 0000466799 00000 n 0000466925 00000 n 0000467051 00000 n 0000467177 00000 n 0000467492 00000 n 0000467538 00000 n 0000467616 00000 n 0000468442 00000 n 0000468758 00000 n 0000496100 00000 n 0000499013 00000 n 0000541945 00000 n 0000542212 00000 n 0000542363 00000 n 0000542489 00000 n 0000542611 00000 n 0000542733 00000 n 0000542856 00000 n 0000543022 00000 n 0000543148 00000 n 0000543274 00000 n 0000543400 00000 n 0000543526 00000 n 0000543651 00000 n 0000543965 00000 n 0000544011 00000 n 0000544089 00000 n 0000544915 00000 n 0000545231 00000 n 0000731774 00000 n 0000735360 00000 n 0000791603 00000 n 0000791830 00000 n 0000791981 00000 n 0000792107 00000 n 0000792232 00000 n 0000792356 00000 n 0000792481 00000 n 0000792607 00000 n 0000792910 00000 n 0000792956 00000 n 0000793034 00000 n 0000793863 00000 n 0000794179 00000 n 0000799978 00000 n 0000829860 00000 n 0000830127 00000 n 0000830278 00000 n 0000830402 00000 n 0000830527 00000 n 0000830653 00000 n 0000830779 00000 n 0000830903 00000 n 0000831027 00000 n 0000831153 00000 n 0000831279 00000 n 0000831405 00000 n 0000831530 00000 n 0000831846 00000 n 0000831892 00000 n 0000831970 00000 n 0000832796 00000 n 0000833112 00000 n 0000837921 00000 n 0000867305 00000 n 0000867564 00000 n 0000867715 00000 n 0000867840 00000 n 0000867964 00000 n 0000868087 00000 n 0000868210 00000 n 0000868332 00000 n 0000868458 00000 n 0000868583 00000 n 0000868707 00000 n 0000868830 00000 n 0000869093 00000 n 0000869171 00000 n 0000869997 00000 n 0000870313 00000 n 0000875276 00000 n 0000875463 00000 n 0000875614 00000 n 0000875890 00000 n 0000875968 00000 n 0000876794 00000 n 0000877110 00000 n 0000880798 00000 n 0000881049 00000 n 0000881200 00000 n 0000881323 00000 n 0000881449 00000 n 0000881575 00000 n 0000881701 00000 n 0000881826 00000 n 0000881952 00000 n 0000882076 00000 n 0000882199 00000 n 0000882462 00000 n 0000882540 00000 n 0000883366 00000 n 0000883682 00000 n 0000887701 00000 n 0000887952 00000 n 0000888103 00000 n 0000888226 00000 n 0000888350 00000 n 0000888474 00000 n 0000888599 00000 n 0000888725 00000 n 0000888850 00000 n 0000888976 00000 n 0000889101 00000 n 0000889429 00000 n 0000889475 00000 n 0000889553 00000 n 0000890379 00000 n 0000890695 00000 n 0000895733 00000 n 0000930044 00000 n 0000930231 00000 n 0000930382 00000 n 0000930686 00000 n 0000930732 00000 n 0000930810 00000 n 0000931636 00000 n 0000931952 00000 n 0000934756 00000 n 0000981641 00000 n 0000981852 00000 n 0000982003 00000 n 0000982129 00000 n 0000982255 00000 n 0000982380 00000 n 0000982721 00000 n 0000983302 00000 n 0000983556 00000 n 0000983602 00000 n 0000983787 00000 n 0000983865 00000 n 0000984691 00000 n 0000985007 00000 n 0001042062 00000 n 0001047389 00000 n 0001089514 00000 n 0001089701 00000 n 0001089852 00000 n 0001090128 00000 n 0001090206 00000 n 0001091032 00000 n 0001091348 00000 n 0001094506 00000 n 0001094701 00000 n 0001094852 00000 n 0001094975 00000 n 0001095251 00000 n 0001095329 00000 n 0001096155 00000 n 0001096471 00000 n 0001099795 00000 n 0001100014 00000 n 0001100165 00000 n 0001100288 00000 n 0001100414 00000 n 0001100540 00000 n 0001100665 00000 n 0001100941 00000 n 0001101019 00000 n 0001101846 00000 n 0001102162 00000 n 0001106995 00000 n 0001107222 00000 n 0001107373 00000 n 0001107499 00000 n 0001107653 00000 n 0001107779 00000 n 0001107905 00000 n 0001108031 00000 n 0001108307 00000 n 0001108385 00000 n 0001109212 00000 n 0001109528 00000 n 0001114002 00000 n 0001114213 00000 n 0001114364 00000 n 0001114490 00000 n 0001114613 00000 n 0001114736 00000 n 0001114999 00000 n 0001115077 00000 n 0001115903 00000 n 0001116219 00000 n 0001119568 00000 n 0001119755 00000 n 0001119906 00000 n 0001120182 00000 n 0001120260 00000 n 0001121086 00000 n 0001121402 00000 n 0001124330 00000 n 0001124533 00000 n 0001124684 00000 n 0001124808 00000 n 0001124933 00000 n 0001125209 00000 n 0001125287 00000 n 0001126114 00000 n 0001126430 00000 n 0001129541 00000 n 0001129728 00000 n 0001129879 00000 n 0001130155 00000 n 0001130233 00000 n 0001131058 00000 n 0001131374 00000 n 0001134282 00000 n 0001134493 00000 n 0001134644 00000 n 0001134766 00000 n 0001134891 00000 n 0001135016 00000 n 0001135292 00000 n 0001135370 00000 n 0001136196 00000 n 0001136512 00000 n 0001139962 00000 n 0001140149 00000 n 0001140300 00000 n 0001140576 00000 n 0001140654 00000 n 0001141481 00000 n 0001141797 00000 n 0001144787 00000 n 0001144974 00000 n 0001145125 00000 n 0001145401 00000 n 0001145479 00000 n 0001146305 00000 n 0001146621 00000 n 0001149636 00000 n 0001149839 00000 n 0001149990 00000 n 0001150115 00000 n 0001150240 00000 n 0001150516 00000 n 0001150594 00000 n 0001151420 00000 n 0001151736 00000 n 0001154740 00000 n 0001154927 00000 n 0001155078 00000 n 0001155354 00000 n 0001155432 00000 n 0001156258 00000 n 0001156574 00000 n 0001159510 00000 n 0001159721 00000 n 0001159872 00000 n 0001159995 00000 n 0001160119 00000 n 0001160244 00000 n 0001160520 00000 n 0001160598 00000 n 0001161426 00000 n 0001161742 00000 n 0001164665 00000 n 0001164892 00000 n 0001165043 00000 n 0001165166 00000 n 0001165291 00000 n 0001165492 00000 n 0001165618 00000 n 0001165743 00000 n 0001166043 00000 n 0001166121 00000 n 0001166947 00000 n 0001167263 00000 n 0001172232 00000 n 0001172443 00000 n 0001172594 00000 n 0001172717 00000 n 0001172841 00000 n 0001172964 00000 n 0001173240 00000 n 0001173318 00000 n 0001174145 00000 n 0001174461 00000 n 0001177446 00000 n 0001177633 00000 n 0001177784 00000 n 0001178035 00000 n 0001178113 00000 n 0001178940 00000 n 0001179256 00000 n 0001180952 00000 n 0001181163 00000 n 0001181315 00000 n 0001181437 00000 n 0001181562 00000 n 0001181682 00000 n 0001182048 00000 n 0001182131 00000 n 0001182486 00000 n 0001182719 00000 n 0001183026 00000 n 0001183072 00000 n 0001183374 00000 n 0001183452 00000 n 0001184278 00000 n 0001184594 00000 n 0001189300 00000 n 0001224372 00000 n 0001224559 00000 n 0001224711 00000 n 0001224962 00000 n 0001225040 00000 n 0001225866 00000 n 0001226182 00000 n 0001227894 00000 n 0001228097 00000 n 0001228249 00000 n 0001228403 00000 n 0001228599 00000 n 0001228900 00000 n 0001228978 00000 n 0001229805 00000 n 0001230121 00000 n 0001233475 00000 n 0001233662 00000 n 0001233814 00000 n 0001234078 00000 n 0001234156 00000 n 0001234981 00000 n 0001235297 00000 n 0001237546 00000 n 0001237733 00000 n 0001237885 00000 n 0001238137 00000 n 0001238215 00000 n 0001239041 00000 n 0001239357 00000 n 0001241485 00000 n 0001241672 00000 n 0001241823 00000 n 0001242087 00000 n 0001242165 00000 n 0001242993 00000 n 0001243309 00000 n 0001245567 00000 n 0001245754 00000 n 0001245905 00000 n 0001246157 00000 n 0001246235 00000 n 0001247061 00000 n 0001247377 00000 n 0001249513 00000 n 0001249700 00000 n 0001249851 00000 n 0001250115 00000 n 0001250193 00000 n 0001251018 00000 n 0001251334 00000 n 0001253639 00000 n 0001253826 00000 n 0001253977 00000 n 0001254229 00000 n 0001254307 00000 n 0001255133 00000 n 0001255449 00000 n 0001257571 00000 n 0001257758 00000 n 0001257909 00000 n 0001258173 00000 n 0001258251 00000 n 0001259078 00000 n 0001259394 00000 n 0001261627 00000 n 0001261814 00000 n 0001261965 00000 n 0001262217 00000 n 0001262295 00000 n 0001263121 00000 n 0001263437 00000 n 0001265577 00000 n 0001265764 00000 n 0001265915 00000 n 0001266179 00000 n 0001266257 00000 n 0001267083 00000 n 0001267399 00000 n 0001269653 00000 n 0001269840 00000 n 0001269991 00000 n 0001270243 00000 n 0001270321 00000 n 0001271148 00000 n 0001271464 00000 n 0001273582 00000 n 0001273769 00000 n 0001273920 00000 n 0001274184 00000 n 0001274262 00000 n 0001275088 00000 n 0001275404 00000 n 0001277674 00000 n 0001277861 00000 n 0001278012 00000 n 0001278264 00000 n 0001278342 00000 n 0001279168 00000 n 0001279484 00000 n 0001281736 00000 n 0001281923 00000 n 0001282074 00000 n 0001282338 00000 n 0001282416 00000 n 0001283242 00000 n 0001283558 00000 n 0001285904 00000 n 0001286091 00000 n 0001286242 00000 n 0001286494 00000 n 0001286572 00000 n 0001287398 00000 n 0001287714 00000 n 0001289959 00000 n 0001290146 00000 n 0001290297 00000 n 0001290561 00000 n 0001290639 00000 n 0001291466 00000 n 0001291782 00000 n 0001293839 00000 n 0001294034 00000 n 0001294186 00000 n 0001294308 00000 n 0001294648 00000 n 0001295688 00000 n 0001295967 00000 n 0001296013 00000 n 0001296239 00000 n 0001296317 00000 n 0001297144 00000 n 0001297460 00000 n 0001303887 00000 n 0001362114 00000 n 0001362301 00000 n 0001362453 00000 n 0001362704 00000 n 0001362782 00000 n 0001363608 00000 n 0001363924 00000 n 0001365621 00000 n 0001365960 00000 n 0001366112 00000 n 0001366320 00000 n 0001366566 00000 n 0001366743 00000 n 0001366964 00000 n 0001367179 00000 n 0001367468 00000 n 0001367645 00000 n 0001367804 00000 n 0001368016 00000 n 0001368232 00000 n 0001368402 00000 n 0001368612 00000 n 0001368824 00000 n 0001369036 00000 n 0001369243 00000 n 0001369457 00000 n 0001369673 00000 n 0001369884 00000 n 0001370104 00000 n 0001370379 00000 n 0001370457 00000 n 0001371284 00000 n 0001371600 00000 n 0001375917 00000 n 0001376112 00000 n 0001376286 00000 n 0001376438 00000 n 0001376701 00000 n 0001376779 00000 n 0001377606 00000 n 0001377922 00000 n 0001379867 00000 n 0001380062 00000 n 0001380302 00000 n 0001380454 00000 n 0001380729 00000 n 0001380807 00000 n 0001381634 00000 n 0001381950 00000 n 0001385335 00000 n 0001385522 00000 n 0001385674 00000 n 0001386004 00000 n 0001386050 00000 n 0001386096 00000 n 0001386142 00000 n 0001386220 00000 n 0001387046 00000 n 0001387362 00000 n 0001392511 00000 n 0001436551 00000 n 0001489352 00000 n 0001528327 00000 n 0001533093 00000 n 0001533650 00000 n 0001534259 00000 n 0001542672 00000 n 0001543266 00000 n 0001543931 00000 n 0001549347 00000 n 0001549742 00000 n 0001550198 00000 n 0001550624 00000 n 0001551280 00000 n 0001551482 00000 n 0001551624 00000 n 0001551714 00000 n 0001551827 00000 n 0001551881 00000 n 0001552456 00000 n 0001552976 00000 n 0001553794 00000 n 0001554444 00000 n 0001555037 00000 n 0001555647 00000 n 0001556163 00000 n 0001556265 00000 n 0001556490 00000 n 0001556536 00000 n 0001568406 00000 n 0001574192 00000 n 0001574561 00000 n 0001575010 00000 n 0001575331 00000 n 0001575592 00000 n 0001576762 00000 n 0001577189 00000 n 0001577552 00000 n 0001580116 00000 n 0001580208 00000 n 0001580673 00000 n 0001581097 00000 n 0001584860 00000 n 0001585397 00000 n 0001585825 00000 n 0001593123 00000 n 0001593385 00000 n 0001593678 00000 n 0001594885 00000 n 0001860210 00000 n 0001860256 00000 n 0001872114 00000 n 0001872573 00000 n 0001872997 00000 n 0001876990 00000 n 0001884311 00000 n 0001884443 00000 n 0001885799 00000 n 0001887214 00000 n 0001888629 00000 n 0001890044 00000 n 0001891528 00000 n 0001893061 00000 n 0001894576 00000 n 0001896079 00000 n 0001898578 00000 n 0001902342 00000 n 0001905021 00000 n 0001905660 00000 n 0001905720 00000 n 0001905781 00000 n 0001905842 00000 n 0001905903 00000 n 0001905964 00000 n 0001906025 00000 n 0001906086 00000 n 0001906147 00000 n 0001906207 00000 n 0001906268 00000 n 0001906329 00000 n 0001906390 00000 n 0001906451 00000 n 0001906512 00000 n 0001906573 00000 n 0001906634 00000 n 0001906695 00000 n 0001906756 00000 n 0001906817 00000 n 0001906877 00000 n 0001906938 00000 n 0001906999 00000 n 0001907059 00000 n 0001907120 00000 n 0001907181 00000 n 0001907242 00000 n 0001907301 00000 n 0001907360 00000 n 0001907419 00000 n 0001907478 00000 n 0001907537 00000 n 0001907594 00000 n 0001907653 00000 n 0001907712 00000 n 0001907771 00000 n 0001907830 00000 n 0001907888 00000 n 0001907947 00000 n 0001908006 00000 n 0001908064 00000 n 0001908122 00000 n 0001908181 00000 n 0001908240 00000 n 0001908299 00000 n 0001908360 00000 n 0001908421 00000 n 0001908482 00000 n 0001908543 00000 n 0001908604 00000 n 0001908665 00000 n 0001908726 00000 n 0001908787 00000 n 0001908848 00000 n 0001908909 00000 n 0001908970 00000 n 0001909031 00000 n 0001909092 00000 n 0001909153 00000 n 0001909214 00000 n 0001909275 00000 n 0001909336 00000 n 0001909397 00000 n 0001909458 00000 n 0001909519 00000 n 0001909580 00000 n 0001909641 00000 n 0001909702 00000 n 0001909763 00000 n 0001909824 00000 n 0001909885 00000 n 0001909946 00000 n 0001910007 00000 n 0001910068 00000 n 0001910129 00000 n 0001910188 00000 n 0001910247 00000 n 0001910306 00000 n 0001910365 00000 n 0001910424 00000 n 0001910483 00000 n 0001910542 00000 n 0001910601 00000 n 0001910660 00000 n 0001910719 00000 n 0001910778 00000 n 0001910837 00000 n 0001910896 00000 n 0001910955 00000 n 0001911014 00000 n 0001911073 00000 n 0001911132 00000 n 0001911191 00000 n 0001911250 00000 n 0001911309 00000 n 0001911368 00000 n 0001911427 00000 n 0001911486 00000 n 0001911545 00000 n 0001911604 00000 n 0001911663 00000 n 0001911722 00000 n 0001911781 00000 n 0001911840 00000 n 0001911898 00000 n 0001911957 00000 n 0001912016 00000 n 0001912075 00000 n 0001912134 00000 n 0001912193 00000 n 0001912252 00000 n 0001912311 00000 n 0001912370 00000 n 0001912429 00000 n 0001912487 00000 n 0001912545 00000 n 0001912603 00000 n 0001912662 00000 n 0001912720 00000 n 0001912779 00000 n 0001912838 00000 n 0001912897 00000 n 0001912956 00000 n 0001913017 00000 n 0001913078 00000 n 0001913139 00000 n 0001913195 00000 n 0001913251 00000 n 0001913310 00000 n 0001913369 00000 n 0001913428 00000 n 0001913487 00000 n 0001913546 00000 n 0001913605 00000 n 0001913664 00000 n 0001913722 00000 n 0001913781 00000 n 0001913840 00000 n 0001913899 00000 n 0001913958 00000 n 0001914015 00000 n 0001914074 00000 n 0001914133 00000 n 0001914192 00000 n 0001914251 00000 n 0001914310 00000 n 0001914369 00000 n 0001914428 00000 n 0001914487 00000 n 0001914546 00000 n 0001914605 00000 n 0001914664 00000 n 0001914722 00000 n 0001914780 00000 n 0001914838 00000 n 0001914896 00000 n 0001914954 00000 n 0001915013 00000 n 0001915072 00000 n 0001915130 00000 n 0001915188 00000 n 0001915246 00000 n 0001915305 00000 n 0001915364 00000 n 0001915423 00000 n 0001915482 00000 n 0001915541 00000 n 0001915599 00000 n 0001915658 00000 n 0001915718 00000 n 0001915778 00000 n 0001915837 00000 n 0001915897 00000 n 0001915956 00000 n 0001916015 00000 n 0001916075 00000 n 0001916135 00000 n 0001916195 00000 n 0001916255 00000 n 0001916315 00000 n 0001916375 00000 n 0001916435 00000 n 0001916495 00000 n 0001916555 00000 n 0001916615 00000 n 0001916675 00000 n 0001916735 00000 n 0001916795 00000 n 0001916855 00000 n 0001916915 00000 n 0001916975 00000 n 0001917035 00000 n 0001917095 00000 n 0001917155 00000 n 0001917215 00000 n 0001917275 00000 n 0001917335 00000 n 0001917395 00000 n 0001917454 00000 n 0001917514 00000 n 0001917574 00000 n 0001917634 00000 n 0001917694 00000 n 0001917754 00000 n 0001917814 00000 n 0001917874 00000 n 0001917934 00000 n 0001917994 00000 n 0001918054 00000 n 0001918114 00000 n 0001918174 00000 n 0001918234 00000 n 0001918294 00000 n 0001918354 00000 n 0001918414 00000 n 0001918474 00000 n 0001918534 00000 n 0001918594 00000 n 0001918653 00000 n 0001918712 00000 n 0001918771 00000 n 0001918830 00000 n 0001918889 00000 n 0001918948 00000 n 0001919007 00000 n 0001919066 00000 n 0001919125 00000 n 0001919184 00000 n 0001919243 00000 n 0001919302 00000 n 0001919361 00000 n 0001919420 00000 n 0001919479 00000 n 0001919537 00000 n 0001919595 00000 n 0001919654 00000 n 0001919712 00000 n 0001919770 00000 n 0001919828 00000 n 0001919887 00000 n 0001919946 00000 n 0001920004 00000 n 0001920063 00000 n 0001920122 00000 n 0001920181 00000 n 0001920240 00000 n 0001920299 00000 n 0001920358 00000 n 0001920416 00000 n 0001920474 00000 n 0001920533 00000 n 0001920593 00000 n 0001920653 00000 n 0001920713 00000 n 0001920773 00000 n 0001920833 00000 n 0001920893 00000 n 0001920952 00000 n 0001921012 00000 n 0001921072 00000 n 0001921132 00000 n 0001921192 00000 n 0001921252 00000 n 0001921312 00000 n 0001921372 00000 n 0001921432 00000 n 0001921492 00000 n 0001921552 00000 n 0001921611 00000 n 0001921670 00000 n 0001921729 00000 n 0001921789 00000 n 0001921849 00000 n 0001921908 00000 n 0001921967 00000 n 0001922027 00000 n 0001922087 00000 n 0001922147 00000 n 0001922207 00000 n 0001922267 00000 n 0001922327 00000 n 0001922387 00000 n 0001922446 00000 n 0001922506 00000 n 0001922566 00000 n 0001922626 00000 n 0001922686 00000 n 0001922746 00000 n 0001922806 00000 n 0001922866 00000 n 0001922926 00000 n 0001922985 00000 n 0001923044 00000 n 0001923103 00000 n 0001923162 00000 n 0001923221 00000 n 0001923280 00000 n 0001923339 00000 n 0001923398 00000 n 0001923457 00000 n 0001923517 00000 n 0001923574 00000 n 0001923631 00000 n 0001923688 00000 n 0001923749 00000 n 0001923807 00000 n 0001923865 00000 n 0001923923 00000 n 0001923982 00000 n 0001924041 00000 n 0001924100 00000 n 0001924158 00000 n 0001924217 00000 n 0001924276 00000 n 0001924335 00000 n 0001924394 00000 n 0001924453 00000 n 0001924512 00000 n 0001924571 00000 n 0001924630 00000 n 0001924689 00000 n 0001924748 00000 n 0001924807 00000 n 0001924866 00000 n 0001924925 00000 n 0001924984 00000 n 0001925043 00000 n 0001925102 00000 n 0001925162 00000 n 0001925222 00000 n 0001925282 00000 n 0001925342 00000 n 0001925402 00000 n 0001925462 00000 n 0001925522 00000 n 0001925582 00000 n 0001925642 00000 n 0001925702 00000 n 0001925762 00000 n 0001925822 00000 n 0001925882 00000 n 0001925942 00000 n 0001926001 00000 n 0001926061 00000 n 0001926121 00000 n 0001926181 00000 n 0001926241 00000 n 0001926301 00000 n 0001926361 00000 n 0001926421 00000 n 0001926480 00000 n 0001926540 00000 n 0001926599 00000 n 0001926659 00000 n 0001926719 00000 n 0001926779 00000 n 0001926838 00000 n 0001926898 00000 n 0001926958 00000 n 0001927018 00000 n 0001927077 00000 n 0001927137 00000 n 0001927197 00000 n 0001927257 00000 n 0001927316 00000 n 0001927376 00000 n 0001927436 00000 n 0001927496 00000 n 0001927556 00000 n 0001927616 00000 n 0001927676 00000 n 0001927736 00000 n 0001927796 00000 n 0001927855 00000 n 0001927915 00000 n 0001927974 00000 n 0001928033 00000 n 0001928092 00000 n 0001928151 00000 n 0001928210 00000 n 0001928269 00000 n 0001928328 00000 n 0001928387 00000 n 0001928447 00000 n 0001928507 00000 n 0001928567 00000 n 0001928626 00000 n 0001928685 00000 n 0001928744 00000 n 0001928803 00000 n 0001928862 00000 n 0001928922 00000 n 0001928982 00000 n 0001929041 00000 n 0001929101 00000 n 0001929161 00000 n 0001929221 00000 n 0001929280 00000 n 0001929340 00000 n 0001929400 00000 n 0001929459 00000 n 0001929519 00000 n 0001929579 00000 n 0001929639 00000 n 0001929699 00000 n 0001929759 00000 n 0001929819 00000 n 0001929879 00000 n 0001929939 00000 n 0001929998 00000 n 0001930057 00000 n 0001930117 00000 n 0001930176 00000 n 0001930236 00000 n 0001930295 00000 n 0001930355 00000 n 0001930414 00000 n 0001930473 00000 n 0001930532 00000 n 0001930591 00000 n 0001930651 00000 n 0001930710 00000 n 0001930770 00000 n 0001930829 00000 n 0001930889 00000 n 0001930948 00000 n 0001931008 00000 n 0001931067 00000 n 0001931127 00000 n 0001931187 00000 n 0001931247 00000 n 0001931307 00000 n 0001931367 00000 n 0001931427 00000 n 0001931487 00000 n 0001931547 00000 n 0001931607 00000 n 0001931667 00000 n 0001931727 00000 n 0001931787 00000 n 0001931847 00000 n 0001931907 00000 n 0001931966 00000 n 0001932026 00000 n 0001932086 00000 n 0001932146 00000 n 0001932206 00000 n 0001932266 00000 n 0001932326 00000 n 0001932386 00000 n 0001932446 00000 n 0001932506 00000 n 0001932566 00000 n 0001932626 00000 n 0001932686 00000 n 0001932745 00000 n 0001932805 00000 n 0001932864 00000 n 0001932923 00000 n 0001932983 00000 n 0001933042 00000 n 0001933102 00000 n 0001933161 00000 n 0001933221 00000 n 0001933280 00000 n 0001933340 00000 n 0001933399 00000 n 0001933459 00000 n 0001933519 00000 n 0001933579 00000 n 0001933639 00000 n 0001933699 00000 n 0001933759 00000 n 0001933819 00000 n 0001933879 00000 n 0001933939 00000 n 0001933999 00000 n 0001934059 00000 n 0001934119 00000 n 0001934179 00000 n 0001934238 00000 n 0001934298 00000 n 0001934358 00000 n 0001934418 00000 n 0001934477 00000 n 0001934536 00000 n 0001934596 00000 n 0001934655 00000 n 0001934714 00000 n 0001934773 00000 n 0001934833 00000 n 0001934893 00000 n 0001934953 00000 n 0001935013 00000 n 0001935073 00000 n 0001935133 00000 n 0001935193 00000 n 0001935253 00000 n 0001935313 00000 n 0001935373 00000 n 0001935433 00000 n 0001935493 00000 n 0001935553 00000 n 0001935613 00000 n 0001935673 00000 n 0001935733 00000 n 0001935793 00000 n 0001935853 00000 n 0001935913 00000 n 0001935973 00000 n 0001936033 00000 n 0001936093 00000 n 0001936153 00000 n 0001936213 00000 n 0001936273 00000 n 0001936333 00000 n 0001936393 00000 n 0001936453 00000 n 0001936513 00000 n 0001936576 00000 n 0001936638 00000 n 0001936700 00000 n 0001936762 00000 n 0001936824 00000 n 0001936886 00000 n 0001936943 00000 n 0001937003 00000 n 0001937060 00000 n 0001937120 00000 n 0001937177 00000 n 0001937235 00000 n 0001937293 00000 n 0001937351 00000 n 0001937409 00000 n 0001937468 00000 n 0001937526 00000 n 0001937584 00000 n 0001937642 00000 n 0001937700 00000 n 0001937759 00000 n 0001937818 00000 n 0001937877 00000 n 0001937937 00000 n 0001937996 00000 n 0001938055 00000 n 0001938114 00000 n 0001938174 00000 n 0001938233 00000 n 0001938293 00000 n 0001938352 00000 n 0001938411 00000 n 0001938471 00000 n 0001938530 00000 n 0001938589 00000 n 0001938648 00000 n 0001938707 00000 n 0001938766 00000 n 0001938825 00000 n 0001938884 00000 n 0001938943 00000 n 0001939002 00000 n 0001939062 00000 n 0001939121 00000 n 0001939180 00000 n 0001939239 00000 n 0001939298 00000 n 0001939357 00000 n 0001939417 00000 n 0001939475 00000 n 0001939534 00000 n 0001939594 00000 n 0001939654 00000 n 0001939714 00000 n 0001939774 00000 n 0001939833 00000 n 0001939892 00000 n 0001939952 00000 n 0001940011 00000 n 0001940070 00000 n 0001940130 00000 n 0001940190 00000 n 0001940238 00000 n 0001940273 00000 n 0001940396 00000 n 0001940548 00000 n 0001940705 00000 n 0001940862 00000 n 0001941019 00000 n 0001941176 00000 n 0001941333 00000 n 0001941457 00000 n 0001941503 00000 n 0001941830 00000 n 0001942013 00000 n 0001945088 00000 n 0001945798 00000 n 0001945866 00000 n trailer << /Size 1430 >> startxref 191 %%EOF 1426 0 obj <>stream FrameMaker 10.0.2 2014-10-09T16:33:11Z 2014-10-09T17:02:04-07:00 2014-10-09T17:02:04-07:00 application/pdf Kintex-7 FPGA KC724 GTX Transceiver Characterization Board User Guide (UG932) Xilinx, Inc. This document describes the components, features, and operation of the KC724 Kintex&reg;-7 FPGA GTX Transceiver Characterization Board. The KC724 board provides the hardware environment for characterizing and evaluating the GTX transceivers available on the Kintex-7 XC7K325T-3 FFG900E FPGA. Xilinx, Inc. via ABCpdf 724, ug932, 932, GTX, kc724, PMBus, system ACE, SMA, SuperClock-2, FPGA mezzanine card, VITA uuid:365a7b1d-6e89-438f-9012-1c73997cceb7 uuid:acfc6d07-1d32-46cd-a372-5fbf63a62971 UG932 endstream endobj 1427 0 obj <> endobj 1462 0 obj <> endobj xref 0 1 0000000000 65535 f 1426 2 0001974615 00000 n 0001979140 00000 n 1462 1 0001979822 00000 n trailer <<247117A4029F5B4BA3E969A26A8F9C5C>]/Prev 191>> startxref 1979992 %%EOF